Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2008-10-01から1ヶ月間の記事一覧

Cynthesizer ユーザー交流会2008に行ってきました

Verification Engineerの戯言 Cynthesizer ユーザー交流会2008に行ってきました。 久しぶりに長谷川節が聞けてよかったです。 神戸先生のお話:C言語設計の現状と今後の課題でも質問がしたかったのですが、タイミングをはずしていしまいました。その後の懇親…

VMM vs OVMは、Vera vs e!

Verification Engineerの戯言 VMMとOVMは、SystemVerilog Verification Methodologyであるが、 VMMはRVMであるので元の実装言語はVera、 OVM 2.0はAVMとeRMではあるがSequenceは明らかにeをベースにしている。 つまり、VMMとOVMの論争の陰には、Veraとeの論…

OVM : ARV

Verification Engineerの戯言 久しぶりのOVM関連です。 VMMには、RAL(Register Abstract Layer)がありますが、OVMにはありません。 しかしながら、ARV(Architect's Register View)というライブラリをAnil Raj Gopalarishnanさんが公開しています。 Cadenceフ…

Cynthesizer ユーザー交流会2008

Verification Engineerの戯言 今週末(2008.10.31)にCynthesizer ユーザー交流会2008がいつもの新横浜国際ホテルで開催されます。 月曜日に引き続き、このセミナーにも参加します。 だって、長谷川さんが面白そうな内容を話してくれるので 「動作合成を利用し…

XtremeEDA merges with ESLX

Verification Engineerの戯言 全然知らなかったのですが、XtremeEDAとESLXが2008年9月9日合併しました。 DOWNLOADSのコーナーには、SystemVerilog(VMM)などのドキュメントがあります。 Web Seminarも!。 一時のSystemCブームも落ち着き、 SystemC 2.2 + TLM…

Requirements Based Verificationって、そうなんだ

Verification Engineerの戯言 Requirements Based Verification Requirements Based Verificationって、なんだ で書きましたが、今日、セミナー行ってきて、2つのプロセス Process 1: Requirements Extraction Process 2: Requirements Prioritization で何…

P.S I LOVE YOU(2007)

映画好きの戯言 とにかく、点数は10点です。 劇場での鑑賞の28本目は、P.S. アイラヴユー/P.S. I LOVE YOU(2007)。 ラブストーリーであるが、悲しいお話です。何と言っても、最愛なる夫が35歳でこの世を去ってしまうのですから。でも、死んでしまった夫か…

今週の映画鑑賞、10/19-10/25

映画好きの戯言 イーグル・アイ/EAGLE EYE(2008)(劇場) P.S. アイラヴユー/P.S. I LOVE YOU(2007) ドーン・オブ・ザ・デッド/DAWN OF THE DEAD(2004)(テレビ) 最初の数分で既に、ゾンビ登場、それ以降はゾンビだらけ! この作品の原点は、ジョージ・A・ロ…

Requirements Based Verificationって、なんだ(その2)

Verification Engineerの戯言 昨日の続きで、2つ目のプロセスであるRequirements Prioritization、優先順位を付ける! 当たり前であるが、結構できていないんです。 簡単なところやわかりやすい場所から片付けるのは楽ですから! では、どのようにして優先順…

Requirements Based Verificationって、なんだ(その1)

Verification Engineerの戯言 Verification New 2008のタイトル、Requirements Based Verificationとは、はたして、どんなものか? どうやら、2つのプロセスとして、 Process 1: Requirements Extraction Process 2: Requirements Prioritization があるよう…

Requirements Based Verification

Verification Engineerの戯言 Verification Now 2008でJL GrayさんがRequirements Based Verificationについて、お話してくれますが、 VerilabのDavidさんのブログOh, one more thingでも、Requirements Based Verificationについて書かれています。 だって…

JSNUG2008 : その後

Verification Engineerの戯言 JSNUG2008: VMM事例(その1)の内容がEDAOnlineにてアップされました。 発表者のお二人の写真も載っています。 いつもなら1ページですが、この記事は2ページにわたっています。気合いが入っています。 最後にあるように、VMMチェ…

Verification Now 2008、開催場所変更

Verification Engineerの戯言 Verification Now 2008 in Japanの開催場所が パンパシフィック横浜ベイホテル東急から新横浜国際ホテルに変更になりました。 そうです。いつもの、新横浜国際ホテルです。 受付開始は、9時30分です。 JL Grayさんのプレゼンテ…

EAGLE EYE(2008)

映画好きの戯言 劇場での鑑賞の27本目は、イーグル・アイ/EAGLE EYE(2008) スティーヴン・スピルバーグがお気に入りのシャイア・ラブーフ主演作で、SFでもファンタジーでもない作品。 ヒッチコックの裏窓のようなディスタービア(2007)では、隣に家を覗き見す…

今週の映画鑑賞、10/12-10/18

映画好きの戯言 コンフィデンス/CONFIDENCE(2003)(GyaO) リリー役のレイチェル・ワイズ、なかなかいいじゃん。 ジェイク役のエドワード・バーンズは、幸せになるための27のドレスのジョージ(そう、社長だよ!) 結構、面白かったです。 屋根裏の散歩者(2006)(…

JSNUG2008に行ってきて

Verification Engineerの戯言 JSNUG2008に行ってきました。 VMMの事例発表を聞きに行ったのですが、なんと、DPI-Cの事例が2つもあったのです。 SystemVerilog => VMM => DPI-C これで、ソフトウェアを含めた検証ができます。 それからVMM-LPが12月に出版され…

CadenceのCEO、Mike Fister氏が辞任

Verification Engineerの戯言 CadenceのCEO、Mike Fister氏が辞任したようです。 IntelからCadenceに移って、約4年が立ちますが、その間、Cadenceはあまり良くなかった気がします。 特に、検証関連のツール、その中でもSystemVerilog対応。。。。 Fisterさん…

CadenceがOVMで利用可能なVIPを発表

Verification Engineerの戯言 Cadenceは、 Enterprise Verification IPの製品群を5倍に拡大、業界で最も広範なOVM多言語サポートを提供 するようです。 このVIPは、UVC(Universal Verification Components)ベースのようですので、 eでの使えるようです。当た…

JSNUG2008は、いよいよ明日

Verification Engineerの戯言 いよいよ、明日になりました。 4時間超のVMMセッション、楽しみましょう! 私は、朝一から最後(パーティ)はいるつもりです。 見かけたら、声をかけてください。では、明日。。天気も良いようです。 申し込みがまだの方は、こち…

JSNUGとVMM

Verification Engineerの戯言 JSNUGとVMM(SystemVerilogとRVMも)の関係を調べてみました。 SystemVerilogが標準化された2005年以降です。 JSNUG2005 : 東京2005/10/12(水)、大阪2006/10/14(金) ・ネイティブ・テストベンチとリファレンス・ベリフィケーショ…

SCDSource、復活

Verification Engineerの戯言 SCDSourceがMentor speeds timing analysis with 'task-oriented' parallelismで復活しました。 シミュレーションも同じようにマルチコアの恩恵を受けさせてください。お願いします!!! 検証、Verification

JSNUG2008 : VMM事例(その3)

Verification Engineerの戯言 JSNUG2008: VMM事例(その3)もVMM事例(その2)と同じインターコネクト検証について内容です。 それにDesignWare VIP(OCP)を利用しています。違いは、C言語。そう、私の好きなC言語です。 SystemVerilogでC言語では、DPI-Cです。 …

WANTED(2008)

映画好きの戯言 劇場鑑賞の26本目は、ウォンテッドWANTED(2008) 春頃に予告編を見て、絶対に見に行くリストに入れたが、タイミングが悪く、今頃になってしまった。 あの風呂、ほしい。ほしい。復活するあの風呂が。。。 フォックス(VOX : アンジェリーナ・ジ…

SCDSourceは、終わったか?

Verification Engineerの戯言 SCDSourceがここ1ヶ月、更新されていない。終わってしまったか? P.S 本日、22000訪問者になりました。いつも、ありがとうございます。 それからエントリ数がこれで602になりました。 ブログを始めて、今月で19ヶ月目ですので、…

今週の映画鑑賞、10/05-10/11

映画好きの戯言 ビームービー/BEE MOVIE(2007)(DVD) ミツバチマーヤの冒険のDreamWorks版って感じ(DreamWorskの日本での配給は、角川エンタテイメント) スティーブン・キング/ランゴリアーズ/THE LANGOLIERS(1995)(DVD) テレビドラマ。劇場未公開のビデオ版…

JSNUG2008 : VMM事例(その2)

Verification Engineerの戯言 JSNUG2008: VMM事例(その2)は、インターコネクト検証について内容のようです。 VMMでは、DesignWare VIPが利用できるので、AXI/OCP等のインターコネクトの検証ができます。 後は、どうすれば効率的な検証ができるかです。 この…

Design Wave Magazine : DPI-Cを活用したハード・ソフト協調検証

Verification Engineerの戯言 明日(10/10)発売のDesign Wave Magazine 2008年11月号に C言語テスト・プログラムによるハードウェア検証技法 DPI-Cを活用したハード・ソフト協調検証 が掲載されます。 検証、Verification、SystemVerilog、DPI-C

JSNUG2008 : VMM事例(その1)

Verification Engineerの戯言 JSNUG2008: VMM事例(その1)では、回路設計/検証者と検証環境構築者での事例のようです。 回路設計と検証者を分離するのは、今では一般的になっていますが、検証者と検証環境構築者を分離できるとはうらやましい限りです。 検証…

JSNUG2008 : VMM-LP

Verification Engineerの戯言 今日から、JSNUG2008、キャンペーンを開始します。 JSNUG2008: VMM-LPでは、VMMをベースに開発された最新ローパワー検証メソドロジ“VMM LP”についてです。 それに、VMM-LPの著者である方々によるトークセッションです。おまけに…

Migrating Existing AVM and URM Testbenches to OVM

Verification Engineerの戯言 Paradigm Worksのサイトで、Migrating Existing AVM and URM Testbenches to OVMが公開されました。 ただし、ユーザー登録が必要です。 以前書いたブログは、こちら(SystemVerilog FrameWorks Template Generator) 検証、Verifi…