Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2008-04-01から1ヶ月間の記事一覧

OVM : SCDsource記事:Open Verification Methodology allows reusable testbenches

Verification Engineerの戯言 SCDsourceに、OVM関連の記事:Open Verification Methodology allows reusable testbenchesがアップされました。 Willamette HDLのMike Bairdさんによるもので、OVMといっても、Mentorのscenarioベースのテストベンチ作成に関す…

P1800-2008は、P1800-2009へ

Verification Engineerの戯言 SystemVerilogの新しいバージョンは、今年(2008年)ではなく、2009年になる模様です。 現在、P1800-2009 Draft 5がメンバー間でレビュー中です。 P.S 本日、12000訪問者を達成できました。 最近、すこし、ペースが上がってきまし…

`include <filename>

Verification Engineerの戯言 SystemVerilogでは、`include Compiler Directiveの使い方として、 Verilog HDLと同様な `include "filename" とは別に、次のようなものが追加されました。 `include <filename> `include <filename>は、C言語の#include <filename>のようにデフォルトのパスに</filename></filename></filename>…

OVM : includeとimport

Verification Engineerの戯言 OVMは、Cadence社のISUとMentor社のQuestaで動作します。そのため、所々実装に違いがあります。 その一つは、includeとimportです。どうやらISUでは、importはサポートされていないようです。 たとえば、examples/ovm_examples/…

Verification Patterns in Addition to RVM

Verification Engineerの戯言 SNUG San Jose 2008のVerification Patterns in Addition to RVMがOpenSPARCからダウンロード可能です。 OpenSPARCサイトのVerification Patterns in Addition to RVM コメントも5個付いています。

フィクサー/MICHAEL CLAYTON(2007)

映画好きの戯言 今年、12本目の劇場での映画鑑賞は、フィクサー/MICHAEL CLAYTON(2007) この映画、実は監督さんがでています。 追憶、コンドル、出逢い、トッツィー 、愛と哀しみの果て、ザ・ファーム/法律事務所 と言えば、 そう、シドニー・ポラックです…

今週の映画鑑賞、4/20-4/26

映画好きの戯言 パイレーツ・オブ・カリビアン/呪われた海賊たち/PIRATES OF THE CARIBBEAN: THE CURSE OF THE BLACK PEARL(2003)(テレビ) また、見てしまった。 真・雀鬼8/確率五分の一の死闘(2001)(GyaO) やっていると、つい見てしまうのです。 真・雀…

SCDSourceのVirtual Platformの記事を再度、読んでみて!

Verification Engineerの戯言 OVPおよびVirtutechを調べてみて、再度、SCDSourceのVirtual Platformの記事を読み直しました。 それも、2回も! この記事からCarbonに関するものは、Virtual Platformのカテゴリに変更。 ついでに、今は無きTenisonとInnovator…

SystemVerilog設計スタートアップは、CQ出版で購入可能

Verification Engineerの戯言 CQ出版社のWeb販売CQ WebShopが4月21日にオープンしたようです。 「SystemVerilog設計スタートアップ」も購入できます。でも、今日はまだ販売日前なので、。。。 P.S 発売日(4/25)から購入できるようになりました。 中味も見ら…

トヨタ 愚直なる人づくり―知られざる究極の「強み」を探る

Verification Engineerの戯言 トヨタ本は何冊か読んだが、この本は「トヨタの人」に関するもの!トヨタ本のほとんどが「トヨタ生産方式:TPS」であるが、この本は「トヨタの哲学」を語っていると思います。その「トヨタの哲学」を理解でき、実践できる人をト…

Vera/RVM to SystemVerilog/VMM free conversion tool

Verification Engineerの戯言 Verification Guildに、Vera/RVM to SystemVerilog/VMM free conversion toolについて開発者からアナウンスがありました。 このツール、SNUG San Jose 2008のOpenVera/RVM to SystemVerilog/VMM Conversion: How to Avoid ‘Deat…

ScriptSim

Verification Engineerの戯言 Verilog HDLからPerl、Python、Tkを呼び出すことができるScriptSimというものがあります。 PLIを使って、Perl、Python、Tkのスクリプトファイルを実行できるのです。 このScriptSimを使ったPCI Bus Function Modelというのもあ…

SystemVerilog設計スタートアップの見本

Verification Engineerの戯言 本日、CQ出版社からSystemVerilog設計スタートアップの見本が届きました。 発売より、ちょびっと早く手にしています。 VMM本の456ページには及びませんが、344ページは結構な厚さです。

Video:Embedded Systems Technology

Verification Engineerの戯言 ちょっと古いですが、Vastの創業者でEmbedded Systems TechnologyのCEOのVideoがEEDesignにありました。 記事によると、 The startup simulated four cars and their interactions, spanning 98 controllers running 7 billion …

SystemVerilog設計スタートアップ

Verification Engineerの戯言 CQ出版社からDesign Wave Advance シリーズとしてSystemVerilog設計スタートアップが2008年4月25日に発売されます。 値段は、3,570円(税込み)です。 この本は、Design Wave Magazineに掲載された記事をベースにしています。 私…

OVPsimでは、GDBが使える!

Verification Engineerの戯言 OVPsimは、GDB RSPインターフェースで接続可能です。 GDB RSP(Remote Serial Protocol)については、Embedded.comの記事を見てください。PDF版は、こちら。 各CPUのツールチェーンに入っているgdbは、コマンドベースです。GUIベ…

OVM Seminar in Tokyoに行ってみて

Verification Engineerの戯言 OVMセミナーに行ってきました。 申し込みは200名以上、大雨のためか実際に参加された方は140名ぐらい、それでも大勢の方が出席されていました。 内容は、次の通りで、前半はメンターから、後半はケイデンスから説明がありました…

大いなる陰謀/LIONS FOR LAMBS(2007)

映画好きの戯言 今回はロバート・レッドフォード監督作、大いなる陰謀/LIONS FOR LAMBS(2007) 出演:ロバート・レッドフォード、メリル・ストリーブ、トム・クルーズ。社会派ドラマ 第1回監督作品である「普通の人々」は公開当時見た。 出演は、ドナルド・サ…

今週の映画鑑賞、4/13-4/19

映画好きの戯言 アンフェア the movie(2007)(テレビ録画) なんだか、警察内のゲームのような気がします。 アーサーとミニモイの不思議な国/ARTHUR AND THE MINIMOYS/ARTHUR ET LES MINIMOYS(2006)(DVD) 声の出演として、マドンナやデビッド・ボーイがでてい…

Simics4

Verification Engineerの戯言 先日(2008年3月31日)にアナウンスされたSimics4では、Eclipseとのインテグレーションができ、Eclipse DML editorというものが導入されました。 IDEのデファクトスタンダードであるEclipse内でDML記述のサポートをするというもの…

組み込みソフトの開発現場につける薬

Verification Engineerの戯言 ソフトウエア開発管理ということを読み物的には、いい本だと思います。 検証というお仕事に当てはめるとどうなのだろうか? ・要求管理 ・開発計画 ・進捗管理 ・構成管理 どれをとっても検証というお仕事の管理に役立つのでは…

Simicsもダウンロード可能に?

Verification Engineerの戯言 VirtutechもOVPの影響を受けたためかはわからないが、SIMICSもダウンロード可能に! だだし、ユーザ登録の必要かつPersonal Academic Licenseで! 個人では、使えないのでしょうか?知っている人、コメントください。

OVM : ModelSim XE 6.3cでOVM 1.0.1を試す!

Verification Engineerの戯言 ModelSim XE 6.3cでOVM 1.0.1を試してみました。 結果、randomizeを使わない例題では、特に問題なく実行できました。 ただし、vsim実行時に、-coverageを指定すると、次のようなエラーになります。 # ** Error: Failure to lice…

SCDSourceのVirtutechの記事

Verification Engineerの戯言 2008年4月10日のブログに書きましたが、Multicore Expoの議論のフォローとしてでしょうか? SCCSourceにVirtutechのJakob Engblomさんの記事Modeling language produces TLMs for virtual platformsがアップされました。 この記…

クローバーフィールド/HAKAISHA

映画好きの戯言 劇場でかなり前から予告編を見ていたクローバーフィールド/HAKAISHA/CloverField(2007) 全編、ホームビデオによるもの。過去に発生したマンハッタンでの大惨事を記録したビデオ。 そのビデオとは? 副社長になり日本に赴任する男のパーティ…

今週の映画鑑賞、4/6-4/12

映画好きの戯言 クローバーフィールド/CloverField(2007)(劇場) 明日、書きます。 アポカリプト/APOCALYPTO(2006)(DVD) 果たして、時代設定はいつで、どこなのだろうか? 解説によると、マヤ文明後期の中央アメリカ。最後のシーンから推測すると、スペイン人…

モデルは、オープンソースとバイナリ?

Verification Engineerの戯言 OVPでは、モデルとしてOpen Source Modelも提供するようです。 現時点では、まだ、バイナリモデルだけですが、将来的にはソースコードはSourceForgeに移し、バイナリモデルをOVPで管理するようです。 バイナリモデルとしては、…

MultiCore ExpoでのVirtual Platform論議

Verification Engineerの戯言 SCDSourceに、OVPの関連記事:Panelists clash over virtual platform standardsがアップされています。 Virtual Platformの標準化についての議論をMulticore Expoで行ったようですが、まだまだ道は遠いようです。 そう、System…

OVP : Examples/Demo

Verification Engineerの戯言 OVPのExamples/Demoの例題では、つぎのようないろいろな構成でのシミュレーションができます。 ・ SingleCore(コアが1個)/ARM7 版 : Platforms/OVPsim_single_arm7.c ・ SingleCore(コアが1個)/MIPS32版 : Platforms/OVPsim_s…

OVPsim等がダウンロード可能に!

Verification Engineerの戯言 予定より1週間程度、遅くなりましたが、OVPのシミュレータ、CPUモデル、ツールチェーンがダウンロードできるようになりました。 http://www.ovpworld2.org/download.php フォーラムへのユーザー登録後、ダウンロードできます。…