Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2008-06-01から1ヶ月間の記事一覧

OVM関連のリスト(概要編、その1)

Verification Engineerの戯言 リリース前 Open Verification Methodology Open Verification Methodology、その後、その1 Open Verification Methodology、その後、その2 Open Verification Methodology、その後、その3 Open Verification Methodology、その…

2008年上半期にDVDで見た映画(その2)

映画好きの戯言 6ヶ月間で57本、見ることができました。このページでは、残りの7本。 シティ・オブ・エンジェル/CITY OF ANGELS(1998) ソウ4/SAW IV(2007) インベージョン/THE INVASION(2007) ボーン・アルティメイタム/THE BOURNE ULTIMATUM(2007) ブレイ…

2008年上半期にDVDで見た映画(その1)

映画好きの戯言 6ヶ月間で57本、見ることができました。このページでは、50本。 スパイダーマン3/SPIDER-MAN 3(2007) スティーヴン・キング 8つの悪夢/NIGHTMARES AND DREAMSCAPES: FROM THE STORIES OF STEPHEN KING(2006) DISC 1(「バトルグラウンド」、…

2008年上半期に劇場で見た映画

映画好きの戯言 6ヶ月間で18本、見ることができました。 アース/EARTH(2007)(01/13) スウィーニー・トッド フリート街の悪魔の理髪師/SWEENEY TODD: THE DEMON BARBER OF FLEET STREET(2007)(1/19) アメリカン・ギャングスター/AMERICAN GANGSTER(2007)(2/1)…

今週の映画鑑賞、6/23-6/29

映画好きの戯言 真・雀鬼11/奪われた死闘 片腕の代走屋(2002)(GyaO) 真・雀鬼12/卓上の反逆者たち(2002)(GyaO) ギフト(Yahoo) サム・ライミ監督、ケイト・ブランシェット主演。 JUNOのパパ役のJ・K・シモンズがパール・ジョンソン保安官役で出ていま…

OVM関連のリスト(詳細編、その1)

Verification Engineerの戯言 来週の月曜日で今年も半分になります。 このブログの今年前半はOVMがブームになりました。 そんなことで、今日(6/27)と月曜日(6/30)は、ブログの内容をまとめたものとします。 特徴 Simulation Phase Class Factory コンフィギ…

Web Seminar : Building a VMM-Based Constrained Random Environment for Bus Protocol Verification

Verification Engineerの戯言 Building a VMM-Based Constrained Random Environment for Bus Protocol Verificationが7月15日に行われます。 フルに英語に耐えられて、時間が合う人(11:00AM)。がんばって聞きましょう!たった1時間。でも、長い。。。 検証…

Open Verification Methodology Online Seminar

Verification Engineerの戯言 OVM WorldでOpen Verification Methodology Online Seminarが始まりました。 セミナーを見るには、ログインが必要です。 検証、Verification、SystemVerilog、OVM、Open Verification Methodology

SCDSourceのSystemC TLM 2.0の記事

Verification Engineerの戯言 SCDSourceにSystemC TLM 2.0に関する記事Why SystemC virtual platforms are the answerがアップされました。 検証、Verification、SystemC、TLM 2.0

OVM: Open, Interoperable Verification

Verification Engineerの戯言 メンターのVerificationに関する記事を集めたverification HORIZONSの一部、OVM: Open, Interoperable Verificationが 公開されました。verification HORIZONSそのものはユーザー登録すると、不定期に送られてくるメイルからア…

9th NASCUG Meetingの資料

Verification Engineerの戯言 DAC2008(8 Jun, 2008)に開催された9th NASCUG Meetingの資料が公開されました。 このサイトはミーティング開催後、かなり早い時期に資料を公開してくれます。 SystemC Myth Bustersは結構、おもしろいです。使用したソースコー…

STARCフォーラム/シンポジウム2008

Verification Engineerの戯言 7月のセミナーとして、16(水)、17(木)にSTARCフォーラム/シンポジウム2008がありました。 P.S 非常に懐かしい方のお名前が。。。甘利先生。 学生の時、ブームになったニューラルネットワークの本、読みました。 当時は確か、東…

JUNO(2007)

映画好きの戯言 今年度、18本目はアカデミー賞脚本賞受賞のJUNO/ジュノ/JUNOです。 脚本は、ディアブロ・コーディ。来日時のTSUTAYAのインタビュー記事 久しぶりに満足できる青春映画でした。高校生の妊娠はかなり深刻な問題ですが、「JUNO」はそれほど…

今週の映画鑑賞、6/16-6/22

映画好きの戯言 インベージョン/THE INVASION(2007)(DVD) 自分の意識がない間にこんななってしまったらどうしよう。でも、気がつくのかなー。 ニコール・キッドマンとダニエル・クレイグは、恋人の役であるが、 ライラの冒険 黄金の羅針盤では、敵だよーーー…

7月は、セミナー月間

Verification Engineerの戯言 DACが終わり、恒例のセミナー月間になりました。 7月4日(金) : SystemC Japan 2008 7月8日(火)、9(水) : Synopsys Technology Symposium 7月11日(金) : Mentor 戦略的検証セミナー 7月17日(木)、18日(金) : Cadence DA SHOW …

vmm_str_dpi.c

Verification Engineerの戯言 sv/std_lib/vmm.svファイル内に、4つのvmm_str_xxxマクロがあります。VCSでは、次のように定義されています。 `define vmm_str_match(str, regex) str.match(regex) `define vmm_str_prematch(str) str.prematch() `define vmm_…

OVM : 2.0の機能

Verification Engineerの戯言 Cool Verificationによると、DACで行われたOVM World Summitで、OVM 2.0での機能が紹介されたようです。 以下のその内容です。 * 統一されたシーケンス * ユーザーズガイド * シーケンスのリセットについて * TLM強化 * コンフ…

CadenceがMentorを買収?

Verification Engineerの戯言 ビッグニュースです。 DACも終わり、ちょっと落ち着いてからの発表です(交渉は、2ヶ月前には始まっていたようですが) 2社間の扱っている分野のツールの重複が多いため、一部のアナリストはシナジー効果はほとんどない、 と言っ…

VMM 1.0.1 source documented with doxygen

Verication Engineerの戯言 IntelligentDV -BlogでVMM 1.0.1のDoxygen Documentationが公開されました。 VMM 1.0.1 Documentation 検証、Verification、SystemVerilog、VMM、Verification Methodology Manual

Truss/Teal source documented with doxygen

Verification Engineerの戯言 IntelligentDV -BlogでTruss/TealのDoxygen Documentationが公開されました。 Truss/Teal Documentation 検証、Verification、Truss/Teal

vmm_subenvクラス

Verification Engineerの戯言 Open Source VMMでは、vmm_subenvクラスが追加されました。 vmm_subenvクラスは、トランザクタやモニターなどをひとまとめにしたものになります。OVMでのovm_agentクラスのようなものです。 検証環境を構築しても、全体がそのま…

Open Source VMMで追加されたもの

Verification Engineerの戯言 Open Source VMMでは、VMM本にはないものが追加されました。 (その前から追加されていましたが、オープンになっていなかったので)。 1)、vmm_subenvクラス 2)、vmm_consensus/vmm_voterクラス 3)、VMM Standard Library Customi…

INDIANA JONES AND THE KINGDOM OF THE CRYSTAL SKULL(2008)

映画好きの戯言 最近のスカル(SKULL)流行は、この映画の影響? ヘビ嫌いは直っていないね!ヘンリー。周りではうけていませんでしたが、私は結構うけました。 カレン・アレンがすごーく懐かしかった。だって、1作目は27年も前だもん。 でも、ストーリ的には21…

今週の映画鑑賞、6/8-6/15

映画好きの戯言 地下鉄(メトロ)に乗って(2006)(テレビ) 古き良き日本。思い出にしたるだけではだめ!常に、未来を! インディ・ジョーンズ/魔宮の伝説/INDIANA JONES AND THE TEMPLE OF DOOM(1984)(テレビ) 新作公開のため、キャンペー中! インディ・ジ…

Verification Vertigo

Verification Engineerの戯言 Brian BaileyによるVerification Vertigoというブログサイトが立ち上がりました。 検証、Verification

VMMの例題:OVMのシーケンサに似たもの?

Verification Engineerの戯言 VMMの例題にOVMのシーケンサのようなものがあります。 sv/examples/std_lib/scenarios ディレクトリ名はシナリオですが、 OVMでは、トップテストベンチ(test.sv)は、こんな感じですが、 module test; `include "ovm.svh" `inclu…

DeepChip:My Cheesy Must See List for DAC 2008

Verification Engineerの戯言 DeepChipにDAC2008に関する記事My Cheesy Must See List for DAC 2008がアップされました。 この中で気になったものは、次の2つ MirabilisのVisualSim CebaTechのC2R Compiler Googleで検索したら、日経EDAOnlineから次のような…

VMM 1.0.1リリース

Verification Engineerの戯言 VMM 1.0.1がリリースされました。変更内容は、次の点です。 1) Task called in function (replaced with void function) 2) .delete() called on queues (replaced with assignment of empty queue) 3) Different method argume…

SystemC TLM 2.0正式版、公開

Verification Engineerの戯言 SystemC TLM 2.0の正式版が公開されました。 これで、TLM 2.0を使うアプリケーション開発に勢いがつくかなーーー 検証、Verification、SystemC、TLM 2.0

Writing Efficient TLM 2.0 Models with GreenSocs

Verification Engineerの戯言 既に終わってしまいましたが、 今年のDACで気になるもののひとつがWriting Efficient TLM 2.0 Models with GreenSocsです。 今年になってかなりいろいろな情報がホームページ(GreenSocs)にアップされています。 この中でも、 Sc…