Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2008-07-01から1ヶ月間の記事一覧

Verilog HDL Transaction Recording

Verification Engineerの戯言 CadenceがIEEE Verilog BTFにVerilog HDLに対するTransaction Recording機能をPLIを使って提案したものがDraft Standard for Verilog Transaction Recording Extensionsにあります。 Copyrightが2003年になっているので、 まだ…

ESNUG 474

Verification Engineerの戯言 ESNUG 474では、ケイデンスがメンターを買収する件に対しての調査結果です。 81%がNo. のようです。 あくまでも全体の意見ではないのですが、81%にもなると、全体としてもやっぱり、Noなのでしょうか? 匿名ではありますが、Men…

MentorのUnified Coverage Database (UCDB)

Verification Engineerの戯言 MentorがQuesta等で使っているUnified Coverage Database (UCDB)をUnified Coverage Database Interoperability (UCIS) Technical Subcommittee(米Accelleraのカバレッジ標準化活動)に寄贈しました。 Mentorだけでなく、Synopsy…

動作合成再び、そして、検証は?

Verification Engineerの戯言 検証とは直接関係ありませんが、7/15にケイデンスがC to Siliconの発表後、DA SHOW/CDN Live! Japan 2008でのプレゼンテーションおよび事例発表がありました。 EDA Onlineには、ルネサス,米Cadenceの動作合成の適用結果を発表…

THE HAPPENING(2008)

映画好きの戯言 今年20本目の劇場鑑賞の以外は、ハプニング/THE HAPPENINGです。 予告を最初に見たときにすぐに見に行くリストに入れました。 シャマラン監督の作品、シックス・センス、アンブレイカブル、サイン、ヴィレッジはテレビにて、 レディ・イン・…

今週の映画鑑賞、7/20-7/26

映画好きの戯言 伝染歌(2007)(DVD) 128分、最近の映画としてはかなり長め。それに日本映画なのに。 女子高生企画と言えば、秋元康。企画/原作。着信アリシリーズ。より、か、な、り、出来がいい。 女子高生出演者は、AKB48(といっては、どんなのかは知りませ…

設計品質&検証技法ワークショップ2008

Verification Engineerの戯言 2008年9月19日(金)にCQ出版社主催の設計品質&検証技法ワークショップ2008がベルサール八重洲で行われます。 今回の3つのトラックは、 ・ソフトウェア・トラック : 組み込みソフトウェアを対象としたテスト技法 ・ハードウェア…

eInfochipsのOVM対応VIP

Verification Engineerの戯言 SystemVerilog Verification Methodologyで一番重要なVIP。 OVM対応のVIPとして、eInfochips’ OVM Compliant IP Portfolioがあるようです。 ・AMBA AHB ・PCI ・GBE ・SPI 4.2 ・I2C ・HDMI ・PCI ・SDIO OVM Readyというのはど…

Questaで2つのVMM

Verification Engineerの戯言 昨日、Mentorが正式にVMMをサポートしたことを書きましたが、 それより前に、CVCのBagathさんがQuestaに対応するソースコードをフォーラムにアップデートしました。 また、vmm_channelを使った例題もアップしました。 この例題…

MentorがVMMを正式サポート

Verification Engineerの戯言 MentorがVMMを正式にサポートしました。(まだ、内容まではチェックしていませんが、) Mentorは、 VM、OVM、VMMと3つのSystemVerilog Verification Methodologyをサポートすることになっています。 AVMは、OVMに移行すると考える…

India SystemC User Group (ISCUG) Meeting 2008

Verification Engineerの戯言 2008年5月9日に行われたIndia SystemC User Group (ISCUG) Meeting 2008の資料が公開されています。 資料は、ここ. ユーロ、北米、日本、ブラジル、インド、次は中国か? 検証、Verification、SystemC

EDA EXPRESSで、このブログが紹介されることになりました.

Verification Engineerの戯言 本日(2008/7/22)からEDA EXPRESSのトップページで、このブログが紹介されることになりました. 3大EDA関連サイトのひとつであるEDA EXPRESSのトップページを飾れるのは、たいへん光栄なことです。 今後とも、よろしくお願いしま…

C-to-Silicon Compiler

Verification Engineerの戯言 2008年7月14日に発表されたケイデンスのC-to-Silicon Compiler。 先週のDA SHOW/CDNLive! Japan 2008でも説明がありました. 私は18日に行ったので詳細は知りません。 でも、18日に行ったときにトイレでFisterさん(Cadence社長)…

“The e Hardware Verification Language”の日本語訳ブック

Verification Engineerの戯言 日本ケイデンスのDA SHOW/CDNLive! Japan 2008のFunctional Verification トラックで The e Hardware Verification Languageの日本語訳ブックをいただきました。NOT FOR SALEです。 OVMのシーケンスは、実はeからの機能です。 O…

今週の映画鑑賞、7/13-7/19

映画好きの戯言 サイレン FORBIDDEN SIREN(2006)(DVD) 堤幸彦監督作、ストーリー的にはいいんだが、作りがやっぱり2本立ての安っぽい映画なんだよね! もうちょっと、お金かけて作れるようなものないのかね! 地球外生命体捕獲/ALTERED(2006)(DVD) 完全なるB…

Verificaton Methodologies: Testbench's Tyranny

Verification Engineerの戯言 Verification GuildにアップされたVerificaton Methodologies: Testbench's Tyrannyは、是非チェックしてください。 検証は何のためにやるのか!このことを深く考えると、 Testbench's Tyranny はどうなのだろうか? みなさん、…

RAL、HAL、Application

Verification Engineerの戯言 VMM Centralに、VMMとOVMの違いについての質問があがりました。 VMMのAdavantageにRALがあることであると、コメントされています。RALがあるのなら、HALもあるでしょう! でも、HALってエミュレータなどが無いと使わないのでメ…

Step-by-step Functional Verification with SystemVerilog and OVMを読んで!

Verification Engineerの戯言 この本はアナウンスにあるようにOVM手引き書として使えますが、私はもっと価値のあるものと考えています。 タイトルにあるとおり、with SystemVerilog and OVMということで、SystemVerilogの最低限についてはふれています。 既…

SCDSourceのVirtutechの記事:Why virtual platforms need cycle-accurate models

Verification Engineerの戯言 SCDSourcにCarbonの記事からすぐにVirtutechの記事がアップされました。 Functional and function accurate (FA) modelsとcycle-accurate (CA) modelsは、 用途によって使い分ければいいのはわかるのですが、できれば実際のもの…

DACのDenali Party

Verification Engineerの戯言 一ヶ月も前のことですが、DACで行われたDenali Partyの写真とビデオです。 Denaliも太っ腹です。参加される方々も結構気合い入っています。 日本でやってもここまではいかないでしょうが、もしやったら、私、どんな格好しようか…

REPORT FROM THE FIRST OVM WORLD SUMMIT AT DAC

Verification Engineerの戯言 OVM WorldにDACにて行われたREPORT FROM THE FIRST OVM WORLD SUMMIT AT DACがアップされています。 70名を超える方が参加されたようです。写真が画質はいまいちですが、雰囲気は感じ取れます。 次のメジャーリリース(2.0)の内…

Speed Racer(2008)

映画好きの戯言 2008年下期の最初の劇場での映画は、スピード・レーサー/SPEED RACER(2008)です。 いきなり、点数です。☆☆☆☆☆:5点満点です。よかったです。最高でした。 騙されたと思って、見に行ってください。もし、おもしろくなかったら、私とあなたの感…

今週の映画鑑賞、7/6-7/13

映画好きの戯言 ディスタービア/DISTURBIA(2007)(DVD) 裏窓、おコチャマ版?足を折ったのではなく、足に発信器をつけられちゃうのねーん。 お母ちゃん役は、なんとマトリックスシリーズのトリニティー役のキャリー=アン・モス。 お友達のロニー役のアーロン…

virtual functionで戻り値を指定していないと?

Verification Engineerの戯言 VMMのHAL(Hardware Abstract Layer)のコード(vmm_hw_port.sv)を見ていたら、 vm_hw_in_port、vmm_hw_out_port抽象クラス(virtual class)のis_rdy関数に戻り値が定義されていない? これって、どうなるのだろうか? たぶん?b>ret…

OVM Forum : OVM_ROOT example?

Verification Engineerの戯言 OVM Forumで、ovm_root::run_testについて、質問:what's the difference between run_test and ovm_root::run_test?がありました。 OVM 1.1でovm_rootクラスが導入されたことは、ovm_env::run_testタスクおよびrun_testタスク…

Opening the Door to Verification Productivity and Interoperability

Verification Engineerの戯言 Web Seminar、The Open Verification Methodology: Opening the Door to Verification Productivity and Interoperabilityが公開されています。 ビデオは右上、プレゼンテーション資料は左に表示されます。 3時間43分、スライド…

SCDSourceのCarbonの記事

Verification Engineerの戯言 SCDSourceによると、Carbon acquires ARM tool, sources cycle-accurate modelsのようです。 Carbonのツールは、RTLからCモデルを作るので当たり前と言えば、当たり前ですが、、 ARMのツールがCarbonに移り、Virtual Platoform…

ovm_field_xxxマクロ

Verification Engineerの戯言 Field Automationtでは、登録したデータに対するcopy, compare, pack, unpack, record, print, sprint関数を自動的に使えるようにするためのもので、 次のようなマクロが用意されています。 これらマクロは、クラス内のメンバー…

ovm_xxx_utilsマクロ

Verification Engineerの戯言 Factory用に対応するためには、それなりのお決まり事をやらなければいけません。 その一つがovm_xxx_utilsマクロを使って、Factoryへの準備をすることになります。 ovm_xxx_utilsマクロとしては、 ovm_object_utils ovm_compone…

今週の映画鑑賞、6/29-7/5

映画好きの戯言 ウェイトレス ~おいしい人生のつくりかた/WAITRESS(2006)(DVD) アメリカ人はそんなにパイが好きなのか? アップルパイはうまいと思うが、チョコレートたっぷりのパイやクリームたっぷりのパイはちょっと。 それから、タルトとパイは何が違う…