Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2008-08-01から1ヶ月間の記事一覧

OVM : 2.0は8月にリリースされず!

Verification Engineerの戯言 OVM 2.0がリリースされるのが、8月であるということは6月18日に書きましたが、 残念ながら、リリースされていません。 検証、Verification、SystemVerilog、OVM、Open Verification Methodology

今週の映画鑑賞、8/24-8/30

映画好きの戯言 真・雀鬼17/魂(こころ)を受け継ぐ者(2003)(GyaO) 舞妓 Haaaan!!!(2007)(テレビ) 阿部サダヲは、おもろい! ナショナル・トレジャー/リンカーン暗殺者の日記/NATIONAL TREASURE: BOOK OF SECRETS(2007)(DVD) ゲイツの母親エミリー・アッ…

Design Wave Magazine : SystemC TLM活用入門、第2回

Verification Engineerの戯言 SystemC TLM活用入門の第2回目が9月号に掲載されました。インターフェースとチャネルです。 検証、Verification、SystemC

Denali Verification Platformの紹介と応用例

Verification Engineerの戯言 Verify2008でDenali Verification Platformの紹介と応用例では、 VIPとして、VMMやOVMなどのMethodologyをサポートしています。 それに、Accellera Library(?)というのは、もしかして、あれですか? 検証、Verification、System…

EDA Express ニュースレター(2008/8/26)

Verification Engineerの戯言 EDA Express ニュースレター(2008/8/26)で、本ブログが紹介されました。 ━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━ ■■お知らせ ●○●検証エンジニア必見! LSI設計における検証関連の話題にフォーカスした ブログサイト「Verification…

Verify2008

Verification Engineerの戯言 設計品質&検証技法ワークショップ2008の1週間前の9月12日(金)にベルサール九段でVerify2008が開催されます。 ベンダーセッションの他に特別講演が3つあります。 === 『”機能検証マネジメントの課題と取組み” 』=== がちょっと…

OVM : The factory and parameterized classes

Verification Engineerの戯言 OVMにおいて、Factoryからparameterized classeを生成するのは、どうしたらよいのか? これに対して、フォーラム(The factory and parameterized classes)で詳しく説明してくれています。 それなりの細工は必要ですが、、Factor…

HAT HAPPENS IN VEGAS(2008)

映画好きの戯言 ベガスの恋に勝つルール/WHAT HAPPENS IN VEGAS(2008)のキャンペーンで来日した キャメロン・ディアスとアシュトン・カッチャーをテレビで見て、鑑賞するのを決めました。 アシュトン・カッチャーは、バタフライ・エフェクト(2004)の主役のエ…

今週の映画鑑賞、8/17-8/23

映画好きの戯言 2001年宇宙の旅/2001: A SPACE ODYSSEY(1968)(GyaO) ハムナプトラ2/黄金のピラミッド/THE MUMMY RETURNS(2001)(テレビ) ベガスの恋に勝つルール/WHAT HAPPENS IN VEGAS(2008)(劇場) 2007/12/30~2007/08/23 : 223日で合計:155(劇場:23,…

CadenceのOVM準拠のVIP

Verification Engineerの戯言 Cadenceは、UVC(Universal Verification Components)としてVIPを数多く提供していますが、 OVM準拠のVIPはまだ多くないようです。 プレスリリース(2008.6.10)では、AMBA(R) 3 AXI (TM) とAMBA AHB(TM)がOVM準拠になったようです…

Aldec Riviera-PRO2008.06の機能

Verification Engineerの戯言 Riviera-PRO2008.06の機能として、 ・VHDL : Draft IEEE P1076-2008 D4.2サポート ・SystemVerilogのクラス機能のサポート強化 (でも、すべてをサポートしているわけではないようです) そして、 ・ToolsメニューにてDPI-Cアプリ…

EVEは、Design Team Acceleration & Emulation ?

Verification Engineerの戯言 GraySmithのケイデンスがメンター買収のレポートによると、 2006年度では、EVEはDesign Team用のAccelerator & Emulatorのようで、全体の15%で、63%はCadenceです。 また、Verification Teamでは、Cadenceが73%で、Mentorが27%…

3つのSequenceは、どこに書いてある

Verification Engineerの戯言 3つのSequenceについて、OVM Forumで解説されましたが、 実際に3つのSequenceはどこ(どのファイル)に書いてあるのでしょうか? それは、 src/methodology/sequences/ovm_sequence_item.svh src/methodology/sequences/ovm_sequen…

今週の映画鑑賞、8/10-8/16

映画好きの戯言 ザ・コア/THE CORE(2003)(テレビ) ジョシュ・キーズ博士役のアーロン・エッカートは、ダークナイトのハーベイ・デント検事役。 真・雀鬼16/プロ雀士哀歌! 漢(おとこ)たちの絆(2003)(GyaO) 今回、タイトルバックが変わった。長門裕之、…

pure virtual!

Verification Engineerの戯言 IEEE P1800-2009 Draft 6 Availibleによると、 IEEE P1800-2009 Draft 6 Availibleでは、pure virtualがサポートされているようです。 2007年6月27日のブログ:pure virtual taskとは、何?では、ModelSimでのみサポートされてい…

CadenceもVMMをサポート?

Verification Engineerの戯言 SCDsourceに、Mentor, Cadence VMM support may boost VIP interoperability、 Cool Verificationに、Goering on Mentor/Cadence VMM Support がアップされました。 Mentorは正式にVMMをサポートしていますが、 Cadenceもカスタ…

Veriwell 2.8.6

Verification Engineerの戯言 オープンソースのVerilog HDL SimulatorであるVeriwellが久しぶりに更新されました。 前回が2005.10.03で、今回は2008.05.27なので、2年半. 検証、Verification、Verilog HDL P.S 今日、お台場に行ってきました。夏に行ったの…

OVMとVMMの違い:transactorへのvirtual interfaceの渡し方

Verification Engineerの戯言 VMMでは、transactorへのvirtual interfaceの渡し方がRule 4-108で次のように定義されています。 Physical interfaces shall be specified using a virtual modport interface as an argument to the transactor contstructor. …

Web Seminor : Verification Methodology in System-to-Silicon Process

Verification Engineerの戯言 Verification Methodology in System-to-Silicon Processでは、JanickさんがVMMを熱く語ってくれます。 1時間17分ものです。 VMM Applicationについても簡単ではありますが、説明してくれます。 検証、Verification、e、SystemV…

THE DARK KNIGHT(2008)

映画好きの戯言 152分の大作。 ダークナイト/THE DARK KNIGHT](2008)(劇場)は、バットマン ビギンズ/BATMAN BEGINS(2005)の続編。 ブルース・ウェイン/バットマン、アルフレッド、ルーシャス・フォックス、ゴードン警部補は、1作目とおなじ。 レイチェル・…

今週の映画鑑賞、8/03-8/09

映画好きの戯言 AVP2 エイリアンズVS. プレデター/ALIENS VS. PREDATOR: REQUIEM(2007)(DVD) とにかく、エイリアンは何体出てきたのだろうか? プレデターは武装しないと、弱いのね。。。 どろろ(2007) オリジナルは138分なので、30分近くカットされと…

VCS Verification Library

Verification Engineerの戯言 VCS Verification Libraryは、VMMだけでなく、RVMにも対応して検証ライブラリです。 VMMはRVMをベースにSystemVerilog用にしたので当たり前と言えばそうですが、 VCSの優位はこのVIPです。なんと言ってもVIPが多種多様にあると…

"Are We There Yet?"

Verification Engineerの戯言 昨日も書きましたが、IBMの"Are We There Yet?"の論文(PDF)が公開されています。 どうやら、SNUG 2008 San Joseで発表した内容のようで、 2nd Place - Best Paperになったようです。 検証、Verification、SystemVerilog、VMM、V…

VMM User Forum Lunch Eventのビデオ

Verification Engineerの戯言 VMM User Forum Lunch Eventのビデオが公開されています。 ARM, Ltd. Need for a Low Power Verification Methodology Renesas Technology Corporation Low Power Verification User Experience NVIDIA Engineering the APX2500…

ModelSim PE 6.4でのTransaction Recording

Verification Engineerの戯言 ModelSim PE Student Editionについては、2008/3/26に書きましたが、 ModelSim PE 6.4リリースに伴い、サイトも更新されました。 この中では、各種ドキュメントも公開されています。 SystemVerilog Transaction Recordingでも書…

Verify2008 Japan

Verification Engineerの戯言 2008.9.12(金)、ベルサール九段でVerify2008 Japanが開催されます。 ベンダー・セッションの他に、3つの特別講演があります。 検証、Verifcation

Sisterのその後

Verification Engineerの戯言 2007年4月9日にSisterでSister(SystemC-Verilog HDL動作合成)を紹介しましたが、 現在、SisterはKT Design Systemsという会社でRovsterとして商品化されています。 Rovesterの日本語マニュアルも公開しています。 Sisterのソー…

THE INCREDIBLE HULK(2008)

映画好きの戯言 TVドラマの超人ハルク、たまに見ていましたが、ストーリーは全く知りませんでした、 今回、インクレディブル・ハルク/THE INCREDIBLE HULK(2008)をみて初めて、ストーリーを知りました。 2003年のハルク/HULK(2003)では、ベティ役はジェニフ…

今週の映画鑑賞、7/27-8/02

映画好きの戯言 ハプニング/THE HAPPENING(2008)(劇場) エム・バタフライ/M. BUTTERFLY(1993)(GyaO) デヴィッド・クローネンバーグ監督作品。前作裸のランチとは、一転して普通の作品? この時代の中国とオリンピックで盛り上がっている現在の中国、同じとは…

SystemVerilog Transaction Recording

Verification Engineerの戯言 Verilog HDLの対するTransaction Recordingについては、Verilog HDL Transaction Recordingに書きましたが、 今回はSystemVerilogのTransation Recordingです。 Mentorが提唱するTransaction Recording, Modeling and Extension…