Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2008-09-01から1ヶ月間の記事一覧

OVM 2.0 :sequence.startタスク

Verification Engineerの戯言 ovm_sequencer_baseクラスのstart_sequenceタスクは、OVM 2.0ではDeprecatedになりました。 その代わりに、ovm_sequence_baseクラスのstartタスクを使うことになります。 コードでも次のようにstart_sequenceタスクを実行すると…

ENCHANTED(2007)

映画好きの戯言 魔法にかけられて/ENCHANTED(2007)(DVD) すばらしいファンタジー、そして、すばらしいミュージカル。 ディズニーということで英語字幕に挑戦。すべては理解できなかったが、十分楽しめた。 日本語訳では、ジゼルのセルフが生きてこないので、…

OVM 2.0 :Virtual Sequences(その2)

Verification Engineerの戯言 シーケンスでは、bodyタスクで`ovm_doマクロあるいは`ovm_do_withマクロを使って、 シーケンスやシーケンス・アイテムを実行します。 OVM 2.0におけるバーチャル・シーケンスでは、各シーケンサへのシーケンスの実行には、 `ovm…

20世紀少年(2008)

映画好きの戯言 25本目の劇場での鑑賞は、20世紀少年(2008)。浦沢直樹のマンガの映画化。 浦沢直樹と言えば、「YAWARA」だが、けしてオリンピックに出ていたニックネームのXXXではない。 3部作の第1作目、既に2章の撮影も行っていて、来年(2009年)1月公開…

今週の映画鑑賞、9/21-9/27

映画好きの戯言 シークレット・フォレスト ダークウッドの殺人鬼/DARKPLACE(2007)(DVD) 結局は、子供の幻想? ジェシー・ジェームズの暗殺/THE ASSASSINATION OF JESSE JAMES BY THE COWARD ROBERT FORD(2007)(DVD) ジェシー・ジェームズは実在の人物。 そん…

OVM 2.0 :Virtual Sequences(その1)

Verification Engineerの戯言 User GuideのP.90にVirtual Sequencesの説明があります。 OVM 1.1では、ovm_virtual_sequencerクラスというものがありましたが、 OVM 2.0では、ovm_virtual_sequencerクラスが無くなり、ovm_sequencerクラスを使って、 Virtual …

OVM 2.0 :ovm_driver

Verification Engineerの戯言 ovm_driverクラスの実装がちょっと変わりました。 親クラスがovm_componentクラスになったのは、 ovm_threaded_componentクラスがDeprecatedになったため! seq_item_portが追加され、互換性のためにseq_item_prod_ifが残ってい…

OVM 2.0 :Questa 6.4aで!

Verification Engineerの戯言 OVM 2.0をQuestaで使うときのことは、OVM 2.0 :Questa/IUSで、Questa 6.3h/6.4で、と書きましたが、 とうやら、6.4aでないと、新しいFactoryではコンパイルエラーがでるようです。 フォーラム : OVM 2.0 Factory example does …

OVM 2.0 :release-notes(その5:ovm_threaded_component)

Verification Engineerの戯言 予告でもあったovm_threaded_componentクラスがdeprecatedになり、その機能はovm_componentクラスの統合されました。 ovm_threaded_componentクラスを継承していたovm_driverクラスなどは、すべてovm_componentクラスを直接、継…

日本シノプシス・ユーザーズ・ミーティング2008

Verification Engineerの戯言 2008年10月17日(金)、東京コンファレンス・センター品川にて、日本シノプシス・ユーザーズ・ミーティング2008が開催されます。 キーノートスピーチの後のDiscovery Successセッションでは、VMM関連が5連発 です。楽しみです! D…

OVM 2.0 source documented with doxygen

Verification Engineerの戯言 IntelligentDV -BlogでOVM 2.0のDoxygen Documentationが公開されました。 OVM 2.0 Documentaion 検証、Verification、SystemVerilog、OVM、Open Verification Methodology

OVM 2.0 :release-notes(その4:run_test)

Verification Engineerの戯言 run_test()では、起動時にバナーを、終了ときにサマリーを表示するとなっているが、 OVM 1.1のとき、(base/ovm_root.svhのrun_testの起動および終了部) ovm_report_info("RNTST", $psprintf("Running test %0s...",test_name),5…

HANCOCK(2008)

映画好きの戯言 今年24本目は、ハンコック/HANCOCK(2008)。 ウィル・スミスは、主役だけでなく、製作もやっています。 最近のウィルは、いい役(良い人)ばかりであったが、今回はちょっと悪役。 インデペンデンス・デイ(1996)のスティーヴン・ヒラー大尉のと…

今週の映画鑑賞、9/14-9/20

映画好きの戯言 デイ・アフター 首都水没<未>/FLOOD(2007) なかなかよかった。テムズ・バリア ハーフ・ダーク/THE HALF DARK<未>(1993)(ビデオ) 図書館で借りる。 たぶん、2回目。昔、レンタルビデオで借りたと思う。 ジョージ・A・ロメロ監督作品 クリス…

OVM 2.0 :release-notes(その3:Factory)

Verification Engineerの戯言 ファクトリでは、parameterized classesがサポートされました。 詳細については、User GuideのPage.108からのThe Built-In Factory and Overridesを見てください。 ==========================================================…

Verification NOW 2008

Verification Engineerの戯言 Verilab主催のVerification NOW 2008が行われます。 日本でも、2008.10.27(なんと、月曜)にパン パシフィック 横浜ベイホテル東急にて行われます。 Cool VerificationのJL Grayさんが説明してくれます。 早速、エントリしました…

OVM 2.0 :release-notes(その2:sequence/sequencer)

Verification Engineerの戯言 sequence/sequencerのAPIは、次の8つ! ・wait_for_grant() ・lock() ・unlock() ・grab() ・ungrab() ・is_grabbed() ・send_request() ・get_response() すべて、ovm_sequence_baseクラスのタスクと関数です。 検証、Verifica…

OVM 2.0 :release-notes(その1:sequencer/driver)

Verification Engineerの戯言 release-notes.txtには、 Release Notes for OVM-2.0 August 5, 2008 とあります。1ヶ月も前に、内部リリースされていました。 モデルとしては、Unified sequencesがメインです。 そうです。メンターのシナリオ(ovm_scenarioク…

OVM 2.0 :Class Reference & User Guide

Verification Engineerの戯言 Class Referenceを改訂されています。ページ数は300から290に減っていますが、 しおりがきちんと付いています(OVM 1.1では、しおりが無くて、結構面倒でした) User Guideを読めば、ある程度、OVM 2.0について知ることができます…

OVM 2.0 :Questa/IUS

Verification Engineerの戯言 README_IUS.txtによると、OVM 2.0は、8.1-s005/8.2-p001で動作確認をしているようです。 README-Questa.txtによると、OVM 2.0は、6.3h/6.4で動作確認をしているようです。 6.3cでコンパイルすると、例題の一部がコンパイルエラ…

悪魔のシスター

映画好きの戯言 今週、DVDをTSUTAYAに返却しにいったときのこと! 次回見るDVDを物色していたら、シスターズの隣になんと、悪魔のシスターがあったのです。 それも2枚。うれしい。。。。。 悪魔のシスターは、シスターズのオリジナル版でデパルマの初期の作…

Cadence Hosted Design Solutions

Verification Engineerの戯言 CadenceがHosted Design Solutionsをアナウンスしました。 SOCCentralの記事:Cadence Introduces SaaS Solutions for Semiconductor Design harry th ASIC guyさんのブログ:The Revolution is Coming Sooner Than You Think h…

OVM 2.0 :Deprecated

Verification Engineerの戯言 OVM 2.0でDeprecatedになったのは、(deprecated.txtから) ・クラス関連 ・ovm_threaded_component (ovm_componentへ。確か、予告されていたなー) ・ovm_sequence_item::set_parent_seq/get_parent_seq (代わりは、set_parent_se…

今週の映画鑑賞、9/07-9/13

映画好きの戯言 俺たちフィギュアスケーター/BLADES OF GLORY(2007)(DVD) 安心できるコメディです。設定は現在ですが、古き良き時代を感じました。 2007/12/30~2007/09/13 : 245日で合計:164(劇場:23, DVD:78, GyaO:36, Yahoo:3, テレビ:24)

OVM : 2.0、やっと、リリース

Verification Engineerの戯言 OVM 2.0が昨日(2008.9.11)にリリースされました。 New Release of the OVM Takes Verification to the Next Level OVM World ForumでのDaveさんのアナウンス 今回のリリースでの目玉は、何といっても、User Guideです。 162ペー…

disable forkの4連発

Verification Engineerの戯言 Specman VerificationにSystmVerilogのdisable forkに関する記事が4連続でアップされました。 Disabling a fork, 2nd take Disable fork, 2nd take Beware of disable fork! Disable fork, 2nd take 検証、Verification、System…

GamePlan Verification Planner

Verification Engineerの戯言 Japserでは、GamePlan Verification Plannerというツールを無償で公開しています。ただし、ユーザ登録は必要。 日本の代理店であるCyberTechのサイトには、日本語での紹介があります。 テストプランの標準があるわけではないの…

SCDSourceの記事:Formal verification checks IC power reduction features

Verification Engineerの戯言 SCDSourceにJapserのエンジニアによるFormal verification checks IC power reduction featuresがアップされました。 シミュレーションによる検証ではありませんが、Low Powerは避けられない道。 SVA(SystemVerilog Assertion)…

EDA標準化の歴史

Verification Engineerの戯言 SynopsysのKarenさんのブログでは、Help me finish this post: EDA Standards History to Date、 harryさんのブログでは、Birth of an EDA Revolution Karenさんのは、かなり長編です。 EDIF : 使ってますか?、使ってないです…

今週の映画鑑賞、8/31-9/06

映画好きの戯言 28週後.../28 WEEKS LATER(2007)(DVD) 前作、28日後...は28週後の公開後(2/2の週)に見たので、ストーリー展開が分かっている分、楽しめた。 それにしても、噛まれたらすぐに変貌するのは行き過ぎでは! ナイト・ウォッチ/NOCHNOI…