Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2009-02-01から1ヶ月間の記事一覧

今週の映画鑑賞、2009_02_22-2009_02_28

映画好きの戯言 今週は、劇場1、DVD4と久しぶりの映画週間。 チェンジリング/CHANGELING(2008)(劇場) ハムナプトラ3 呪われた皇帝の秘宝/THE MUMMY: TOMB OF THE DRAGON EMPEROR(2008)(DVD) ファイト・クラブ/FIGHT CLUB(1999)(DVD) クラッシュ/CRASH(1996)…

OVM SystemC

Verification Engineerの戯言 OVM Multi-language Release 2.0.1では、SystemCへの拡張を行っています。 OVM SystemCのソースコードは、ファイルを展開すると、ovm_ml/ovm_lib/ovm_sc/scに展開されます。 各ソースコードは、Apache 2.0ライセンスで公開され…

がんばっています e

Verification Engineerの戯言 ケイデンスのFunctional Verificationのブログに頻繁にeに関する記事がアップされます。 OVM eが公開され、ますます、活発になることでしょう! 最近の記事 OVM e Open Source - It's Official! Tech Tip - Double Wall Clock P…

OVM / VMM 1.1 Interoperability Kit

Verification Engineerの戯言 VMM 1.1に対応したバージョンがリリースされました。 詳細については、 vmm2ovm-1.1/doc/ovm_interop/html/index.html に詳しく書いてあります。 本当にこれでもか、というほど、詳しく書いてあります。 また、前回のバージョン…

Free SystemVerilog OVM Rule Checker from VeriEZ

Verification Engineerの戯言 VeriEZが SystemVerilog OVM Rule Checkerのフリーバージョンを公開しました。 Free SystemVerilog OVM Rule Checker from VeriEZ VeriEZ’s free version of Rule Checker to support broad OVM momentum ライセンスは、Apache …

DVCon 2009で続々発表

Verification Engineerの戯言 本日(2/24)からDVCon 2009が開催されています。 このようなイベント前の恒例の発表がありました。 Synopsys : VMM-LP Synopsysカスタマーであれば、ダウンロードできます。 私もレビューアとして、ほんのちょっとではありました…

perl based OVM 2.0 template generator

Verification Engineerの戯言 OVM WorldのCommunity Contributionsに OVM 2.0コードのテンプレートを生成するツールがアップされています。 perl based OVM 2.0 template generator ある決まったルールに従って書くことでテンプレートが生成されます。 パッ…

Changeling(2008)

映画好きの戯言 今年4本目の劇場での鑑賞は、チェンジリング/CHANGELING(2008)。 1928年にL.Aで実際にあった話を元にした。 主演は、アンジェリーナ・ジョリー。 本日、発表のアカデミー賞主演女優賞にノミネートされています。 前回観た、http://www.allcin…

David Cronenberg

映画好きの戯言 David Cronenbergの作品を観たのは、いつだろうか? スキャナーズ(1981)、ヴィデオドローム(1982)、デッドゾーン(1983)、今となってははっきりしない。 ビデオドロームは渋谷のユーロスペースの一番前の席で見たのを覚えている。 ユーロスペ…

今週の映画鑑賞、2009_02_15-2009_02_21

映画好きの戯言 ミッドナイト イーグル(2007)(テレビ) カンフー・パンダ/KUNG FU PANDA(2007)(DVD) イースタン・プロミス/EASTERN PROMISES(2007)(DVD) 2009/01/01~2009/02/21 : 合計:24 (劇場:3, DVD:12, GyaO:5, テレビ:4)

EDA Express ニュースレター(2009/2/19)から

Verification Engineerの戯言 EDA Express ニュースレター(2009/2/19)で紹介された内容 ■検証関連ブログ「Verification Enginieerの戯言」の記事情報 2月以降はOVP関連の記事が多数有りました。 設計者の方々にとって有益な情報も多かったはずです。 以…

SystemVerilog : inside

Verification Engineerの戯言 SystemVerilogには、メンバシップ演算子 insideというものがあります。 使い方は、MoriLab - 言語 > verilog > リファレンス > insideを見てください。 私はこのサイトで知りました(チェック漏れです。恥ずかしい。。。。) 検証…

続Poll: Verification Methodology

Verification Engineerの戯言 Poll: Verification Methodologyの続報です。 Setting The Record Straightで続報がアップされました。 まとめの資料として、PDF FormatやExcel workbookもアップされています。 4% are using ONLY VHDL or Verilog (this numbe…

Python-based OVM Register code generator using Excel-generated XML File

Verification Engineerの戯言 このツールは、Excelから生成したXMLファイルからOVMレジスタコードに変換するものです。 Pythonで書かれています(日本ではPythonはあまり使われていませんが、海外では人気が高い言語です)。 Python-based OVM Register code g…

A Register Package for OVM - BETA Release (Update to BETA 6)

Verification Engineerの戯言 MentorのRegister Packageは、 OVM WorldのRegister Package for OVM - BETA Release (Update to BETA 6)からダウンロードできます。 このパッケージは、Questa 6.4a, 6.4b, 6.4c, 6.5 Betaで動作確認しているようです。 releas…

An OVM Register Package - FCS 1.0

Verification Engineerの戯言 CadenceがScalable OVM Register and Memory Packageをリリースしました。 OVM WorldのAn OVM Register Package - FCS 1.0からダウンロードできます。 このツール、VMMのRALとは違って、RAL => VMMではなく、IP-XACT => OVMへの…

Poll: Verification Methodology

Verification Engineerの戯言 Poll: Verification Methodologyでは、検証メソドロジとし何を使っているかの投票を行っています。 ・VMM ・OVM ・AVM ・RVM ・eRM ・Teal/Truss ・Home Grown ・Native SystemVerilog ・Native Vera ・Native e ・Native VHDL …

OVP関連記事のサマリー

Verification Engineerの戯言 OVP関連記事のサマリーをPDFファイルとして作成しました。 OVP(Open Virtual Platforms)に関する記事:サマリー 自己責任で、ご利用ください。 検証、Verification、OVP、Virtual Platform

今週の映画鑑賞、2009_02_08-2009_02_14

映画好きの戯言 ベンジャミン・バトン 数奇な人生/THE CURIOUS CASE OF BENJAMIN BUTTON(2008)(劇場) 美しすぎる母/SAVAGE GRACE(2007)(DVD) スター・ウォーズ/クローン・ウォーズ/STAR WARS: THE CLONE WARS(2008)(DVD) 2009/01/01~2009/02/14 : 合計:20 …

写真、たくさん

Verification Engineerの戯言 jvh3's photostreamには、イベントの写真がいっぱいあります。 DesignCon 2009の写真も4枚アップされています。 CDNLive San Jose 2008の写真は、たくさんあります。そして、明治記念館のトイレで遭遇したあの方も! 検証、Veri…

OVP : オープンソースコードにアクセス(その2)

Verification Engineerの戯言 processorの中のor1k(OpenRISC 1000)のTLM 2.0ラッパーは、ここ Apache 2.0ライセンス icmCpuクラスを継承している template<Uns32 NR_OF_INTERRUPTS> class or1k : public icmCpu そして、コンストラクタは、たった、これだけ! 親クラスへのパラメータ</uns32>…

OVP : オープンソースコードにアクセス(その1)

Verification Engineerの戯言 Google CodeにOVPプロジェクトがあります。 Sourceのタブをクリック後、Browseをクリックすれば、過去リリースされたソースコードにアクセスできます。 最新版は、20090123.0です。 20090123.0 ovpworld.org modelSupport perip…

OVP : TLM 2.0の動作確認

Verification Engineerの戯言 ドキュメントやプレゼンテーション資料を見ているだけではつまらないので、例題を動かしてみました。 必要な環境は、 A)、MinGW32 B)、Visual C++ 2008 Express Edition です。 0)、準備 MinGW32およびVisual C++ 2008 Express …

Design Wave Magazine : SystemC TLM活用入門、第3回(最終回)

Verification Engineerの戯言 SystemC TLM活用入門の第3回目が最終号に掲載されました。モデル作成の実際です。 P.S 本日、ブログ開設2周年を1ヶ月前に、30000訪問者を達成しました。 次は、50000訪問者です。今後もよろしくお願いいたします。 検証、Verifi…

Design Wave Magazineの最終号の内容は!

Verification Engineerの戯言 Design Wave Magazine 3/4月号の目次は、内容はこんな感じ 特集は、Cベース設計の時代がやってきた!です。 検証、Verification

OVP : TLM 2.0をサポート

Verification Engineerの戯言 Verification VertigoにTLM 2.0 - Good enough for nowという記事がアップされました。 OVPでTLM 2.0ベースのSystemCモデルを統合することができ、 かつ、DMIが使えるので3%程度しか低下しないようです。2GHzのPCで200~500MIP…

Design Wave Magazineの最終号は、明日発売!

Verification Engineerの戯言 Design Wave Magazineが休刊に!でも書きましたが、Design Wave Magazine 3月号が明日発売されます。 定期購読をしている同僚にも休刊のお知らせが来たようです。そして、3月号ではなく3・4月合併号でした。 4月10日には、新し…

THE CURIOUS CASE OF BENJAMIN BUTTON(2008)

映画好きの戯言 今年3本目の劇場鑑賞は、 ベンジャミン・バトン 数奇な人生/THE CURIOUS CASE OF BENJAMIN BUTTON(2008)(劇場) 2時間47分と最近ではかなり長い作品。 「セブン」「ファイト・クラブ」に続いて3度目のコンビを組んだデヴィッド・フィンチャー…

今週の映画鑑賞、2009_02_01-2009_02_07

映画好きの戯言 マンマ・ミーア!/MAMMA MIA!(2008) シューテム・アップ/SHOOT 'EM UP(2007) キューブ■RED<未>/LA HABITACION DE FERMAT FERMAT'S ROOM(2007)(DVD) 2009/01/01~2009/02/07 : 合計:17 (劇場:2, DVD:7, GyaO:5, テレビ:3)

EDA Express ニュースレター(2009/2/5)の効果

Verification Engineerの戯言 EDA EXPRESSからのニュースレター(2009/2/5)に、このブログおよびSystemVerilog Methodology Groupの紹介が載っています。 今年のEDSFair情報集めてみました】EDA Express ニュースレター(2009/2/5)] ■□検証関連ブログ「Verific…