Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2009-03-01から1ヶ月間の記事一覧

SystemCでもsave/restore

Verification Engineerの戯言 Cadenceのシミュレータでは、save/restore機能が使えるようです。 How to Save OS Boot Time In Your SystemC Virtual Platform With Save and Restore SystemC Save and Restore Part 2 - Advanced Usage 検証、Verification、…

VMM-LP本、やっと4章

Verification Engineerの戯言 VMM-LP本の前半部分(1から4章)まで、やっと読み終えました。 Chapter 1 Introduction Chapter 2 Multi-Voltage Basics Chapter 3 Power Management Bugs Chapter 4 State Retention ここまでは、あくまでも前座。これからがVMM-…

David Fincher

映画好きの戯言 David Fincherは、セブンが大ヒットし、一躍有名になりましたが、 彼の監督作品は総べて観ましたが、どれもそれなりにGoodな作品だと思います。 最新作 ベンジャミン・バトン 数奇な人生については、こちら。 エイリアン3 (1992) セブン (199…

DVCon : Cadence

Verification Engineerの戯言 ケイデンスのブログに、Users Report on OVM in a Multi-Language World: Results From DVConがアップされています。 このブログの中には、ちょっと短いですが、下記の4社の事例のリンクがあります。 ・SiRF ・ST Microelectron…

OVP : 20090319版

Verification Engineerの戯言 OVPの最新バージョン20090319がリリースされました。 古いバージョンをアンインストールしてから、インストールする必要があります。 検証、Verification、OVP、Open Virtual Platform

VMM-LP本、到着

Verification Engineerの戯言 皆さんの手元にも届きましたか?VMM-LP本。 VMM-LP本 到着~~に遅れること、3日、私のVMM-LP本を入手しました。 厚さは、1.5cm程。Paperbackなので軽い。このくらいなら持ち運んでも苦になりません。 それから、$40、約200Page…

VMM-LP本は、$40!

Verification Engineerの戯言 VMM-LP本は、$40です。 安いです。その理由は、Paperbackだから! 確かにハードカバーにする必要は無いし。 このくらいの価格なら翻訳本とほぼ同じだね! 検証、Verification、SystemVerilog、VMM、Verification Methodology Ma…

M. Night Shyamalan

映画好きの戯言 M. Night Shyamalanは、私より若い監督です。M. Night Shyamalanの作品はだいたい観ました。 翼のない天使<未>/WIDE AWAKE(1998) => これは見ていない シックス・センス/THE SIXTH SENSE(1999) アンブレイカブル/UNBREAKABLE(2000) サイン/SI…

VALKYRIE(2008)

映画好きの戯言 今年6本目の劇場での鑑賞は、ワルキューレ/VALKYRIE(2008)。 LIONS FOR LAMBS(2007)のアーヴィング上院議員役に比べれば、 遙かにシュタウフェンベルク大佐の役はよかったトム・クルーズ。 でも、最初の数分間のみドイツ語で、その後、終始英…

今週の映画鑑賞、2009_03_08-2009_03_21

映画好きの戯言 近距離恋愛/MAID OF HONOR(2008)(DVD) 告発のとき/IN THE VALLEY OF ELAH(2007)(DVD) ワルキューレ/VALKYRIE(2008)(劇場) アストロノーツ・ファーマー/庭から昇ったロケット雲/THE ASTRONAUT FARMER(2007)(DVD) 2009/01/01~2009/03/14 : 合…

Googleブック検索が、、、

Verification Engineerの戯言 Google ブック検索について、2007年7月6日にかきましたが、 先日、久しぶりにGoogleブック検索を使ってみたら、なんと、 検索ユーザーと書籍の新たな出会い Google は、著者および出版社と画期的な契約を結びました。 というの…

protected function new は、あり?

Verification Engineerの戯言 Verification GuildのSV constructorによると、 protected function new(args); endfunction というのがありのようです。ただし、VCSではNG....ということです。 このようにすると、 a_inst = new(); でnewが呼べないというエラ…

Simulation Timer

Verification Engineerの戯言 IntelligentDV BlogからSystemVerilog Simulation Timersがリリースされました。 ダウンロードは、ここから SubVersionは、ここから doxygen(ドキュメント)は、ここから 検証、Verification、SystemVerilog

NASCUGが新しくなった

Verification Engineerの戯言 NASCUGが新しくなった。 DVCon 2009のときに開催された10th NASCUG Meetingのプレゼンテーション資料もアップされています。 検証、Verification、SystemC

ブログ、始めましてから2年

Verification Engineerの戯言 ブログ、始めましたから本日、2年経ちました。 Verification Engineerの戯言 SystemVerilogとSystemC、そして、検証に関するブログ、始めました。 ネットからの情報をベースに私なりにコメントしたいと思います。 これからも、…

Terry Gilliam

映画好きの戯言 あなたは、Terry Gilliamの世界を知っていますか? 私の「Terry Gilliam」の世界は、モンティ・パイソンではない世界です。 その中で、私は以下のものを観ました。 バンデットQ/TIME BANDITS(1981) 未来世紀ブラジル/BRAZIL(1985) バロン/TH…

今週の映画鑑賞、2009_03_08-2009_03_14

映画好きの戯言 ラスト・ボーイスカウト/THE LAST BOY SCOUT(1991)(GyaO) デビューしたてのHalle Berryがコリー役ででている。 フィッシャー・キング/THE FISHER KING(1991)(DVD) ワン・ミス・コール/ONE MISSED CALL(2008)(DVD) テレビ番組のスタッフ(Gary…

SNUG San Jose 2009

SNUG San Jose 2009が来週16-18(3日間も)で行われます。 プログラムによると、VMMを含めて検証関係、いっぱいあります。 何しろ、San JoseがSNUGのメインイベントですから! 既に、各プレゼンテーション資料(Paper/Presention)がアップされています(Synopsys…

OVM e の例題で学ぶ e (その2)

Verification Engineerの戯言 e/xbus_types_h.eファイルの中で、 -- Widths greater than 32 are not supported. #ifndef XBUS_ADDR_WIDTH { define XBUS_ADDR_WIDTH 16; }; type xbus_bus_name_t : [NO_BUS=0]; type xbus_addr_t : uint(bits:XBUS_ADDR_WID…

ModelSim AE 6.4a

Verification Engineerの戯言 AlteraのQuartus II v9.0リリースの伴い、ModelSim AEもバーションアップされ、6.4aになりました。 ModelSim AE 6.4aダウンロードサイト ModelSim 6.3g同様にStarter Edition(旧Web Edition)のライセンスは必要ありません。 イ…

OVM e の例題で学ぶ e (その1)

Verification Engineerの戯言 OVM e の例題で e について学んでみました。 例題としては、ovm_ml/ovm_examples/xbus_eディレクトリです。 e/xbus_top.eファイルの中で、 <' package cdn_xbus; #ifdef SPECMAN_FULL_VERSION_08_20_001 { import ovm_lib/e/ovm…

DVCon 2009のブログと写真

Verification Engineerの戯言 写真、たくさんのサイトにDVCon 2009の写真がアップされました。 Day1 : ブログ、写真 Day2 : ブログ、写真 Day3 : ブログ、写真 検証、Verification、e、OVM、Open Verification Methodology

David Cronenberg、その後

映画好きの戯言 David Cronenbergでは、次の3作品を観ていないことを書いた。 ・クラッシュ (1996) ・イグジステンズ (1999) ・スパイダー/少年は蜘蛛にキスをする (2002)ははたしてどうか? その後、これら3作品をレンタルDVDショップで見つけたので、借り…

今週の映画鑑賞、2009_03_01-2009_03_07

映画好きの戯言 オーストラリア/AUSTRALIA(2008)(劇場) イグジステンズ/EXISTENZ(1999)(DVD) ローズ・イン・タイドランド/TIDELAND(2005)(DVD) やわらかい手/IRINA PALM(2007)(DVD) 2009/01/01~2009/03/07 : 合計:33 (劇場:5, DVD:20, GyaO:5, テレビ:4)

OVM Multi-language Libraries &#8211; A Closer Look

Verification Engineerの戯言 ケイデンスのブログOVM Multi-language Libraries – A Closer Lookがアップされています。 ブログの内容によるとVIPも使えるようですね! 検証、Verification、e、OVM、Open Verification Methodology

CadenceのVirtual Platformブログ

Verification Engineerの戯言 ケイデンスのブログサイト System Design and Verification BlogにVirtual Platformの記事がアップされています。 Welcome to the "Exploring the Virtual Platform" Series Exploring the Virtual Platform Part 2 Exploring t…

OVM e (その2)

Verification Engineerの戯言 OVM eのソースコードは、ファイルを展開すると、ovm_ml/ovm_lib/ovm_e/eに展開されます。 各ソースコードは、Apache 2.0ライセンスで公開されています。 ・ovm_base_class.e ・ovm_config.e ・ovm_cover_sequence. ・ovm_e_top.…

祝ブログ開設、2周年

Verification Engineerの戯言 本日(2009年3月3日)は、このブログ開設から2年目にあたります。 2年間で770エントリ、31500訪問者を超えることができました。 最初のエントリは2007年3月15日ですので、 もうちょっとあるのですが、とりあえず開設日をお祝いし…

OVM e (その1)

Verification Engineerの戯言 ケイデンスからOVM Multi-language Release 2.0.1がリリースされました。 このパッケージは、e と SystemCでOVM(SystemVerilog)と同様な機能を提供するものです。 メンターがAVM 1.0でSystemVerilogとSystemCをサポートしていた…

DVCon 2009でTwitter

Verification Engineerの戯言 DVCon 2009でのTwitter:#dvconのログです。 Blogより、生々しいです。 それにしても、JL Grayさん、お話好きですね。。。 検証、Verification