2009-04-01から1ヶ月間の記事一覧
Verification Engineerの戯言 ケイデンスのブログにESL Design - SystemC TLM2 IP Authoring: A Practical Experimentがアップされています。 簡単なRDL(Register Description Language)で記述したモノからSystemCモデルのテンプレートやS/Wのヘッダファイル…
Verification Engineerの戯言 ケイデンスもObjection Mechanism:An OVM Objection mechanism packageを公開しました。 こちらは、ドキュメントおよびソースコードを登録無しにダウンロードできます。 検証、Verification、SystemVerilog、OVM、Open Verific…
Verification Engineerの戯言 VMM Centralのブログに、Using vmm_test Base Classがアップされました。 今回は、Janickさんではなく、SynopsysのFabian Delgusteさんです。 このブログでは、vmm_testクラスの使い方について説明しています。 私も昨年12月に…
映画好きの戯言 Tim Burtonの映像表現は、彼の原点であるアニメーターに依存するところが大きいと思う。 メジャーデビューであるBEETLEJUICE(1988)はまさにアニメーションの実写版である。 その後の、 EDWARD SCISSORHANDS(1990)、 BATMAN(1989)、 BATMAN RE…
Verification Engineerの戯言 Shutdown Manager (Objection Mechanism)に引き続き、 Paradigm WorksがPW OVM Testbench and OVC Template Generatorを効果しました。 ユーザ登録無しにダウンロードできるのは、内容だけです。 ツールは、ユーザ登録が必要の…
Verification Engineerの戯言 本日、 Learn to Use OVM-SC Library in a SystemC Test EnvironmentのWeb Seminarが行われます。 Aldec社のRiviera-PROでOVM-SC 2.0.1 Libraryが使えるようです。 アジェンダは、つぎのようになっています。 引用: * Introduc…
Verification Engineerの戯言 SCDSource(約2ヶ月間も記事がアップされない)からCadenceに移籍したRichard Goeringさん、 水を得た魚のように、3週間で11記事もアップしています。 2009/03/31 : Industry Insights Blog Aims for Broad Perspectives 2009/04/…
Verification Engineerの戯言 ケイデンスのブログに、Connecting OVM Testbench and SystemC TLM2 IPがアップされています。 このブログでは、OVMのテストベンチとSystemC TLM2 IPを繋げるのですが、OVMはSystemC TLM1のAPIしかサポートしていないので、TLM1…
Verification Engineerの戯言 ディジタル・デザイン・テクノロジーの創刊号には、Active-HDL Lattice Web EditionがCD-ROMに入っている。バージョンは、8.1らしい。 Aldec Active-HDL 7,2 Student Editionより新しいバージョンだ! 検証、Verification
Verification Engineerの戯言 ディジタル・デザイン・テクノロジーが2009年4月10日に創刊されました。 そして、なんと、P.108に 「DVD-ROM版 Design Wave Magazine アーカイブズ100」 2009年5月頃販売予定とある。しかも、専用ビニール・ケース入り??? 内…
Verification Engineerの戯言 1月11日のどうすれば、もっと良くなるのか?からかれこれ3ヶ月。 SystemVerilog Methidology Groupには、1月下旬から3月上旬までに多くの参加者が集まり、 当初の11名から43名まで登録者が増えました(現在は、41名です)。 しかし…
Verification Engineerの戯言 日経EDAOnlineにコンパイルとインタプリタの両モードを備えたVerilog-HDLシミュレータを米Pragmatic C Softwareが発売がアップされています。 昨日、知り合いからGPL CVerが無くなっているというメイルをもらい、 早速 Pragmati…
Verification Engineerの戯言 久しぶりにJanickさんのブログが更新されました。 Analyzing results of the Performance Analyzer with Excel パフォーマンスアナライザの結果をExcelで解析するというモノ。。。 パフォーマンスアナライザが出力するデータ(SQ…
Verification Engineerの戯言 昨日書いたParadigm WorksがDVCon 2009で発表したテクニカルペーパー Divide and conquer: Techniques for creating highly reusable stimulus generation processは、 VMMやOVMの枠を超えた内容です。 VMMやOVMで説明されてい…
Verification Engineerの戯言 Paradigm WorksがOVM対応のManagerを公開しました。 - IUS 08.20-s003 and up - Mentor QuestaSim-64 vsim 6.4a Simulator 2008.08 Aug 28 2008 and up で使えるようです。 みなさん、使ってみては? 検証、Verification、Syste…
Verification Engineerの戯言 EEdesignにEDAにGPUを利用すればいいのに、という記事 Viewpoint: Mass GPUs, not CPUs for EDA simulationsがアップされています。 VCSがマルチコア対応になって、数倍の性能向上ができるようになったようですが、 GPUを利用す…
Verification Engineerの戯言 VCSのマルチコア対応の2社(EDAOnlineとEDA EXPRESSS)の記事 EDAOnline : 米Synopsys,論理シミュレータのVCSとFastSPICEなどをマルチコア対応で高速化 by 小島さん EDA EXPRESS : シノプシス、高速SPICEを統合した新製品と論理…
Verification Engineerの戯言 昨日に続き、Aldecネタ。 Aldec Newsletterによると、2008年の1年間でAldec Mixed-Language Simulatorが7.4%アップしたようです。 そう言えば、約10年前、Model TechnologyのModelSimがMixed-Languageサポートしたころ、 某EDA…
Verification Engineerの戯言 Aldecは、、製品版 Active-HDL をベースにした Active-HDL Student Edition を無償にて提供しています。 いままで、このStudent Editionは、学生でないと利用できない思っていましたが、 学生だけでなくどなたでも利用できるよ…
Verification Engineerの戯言 Synopsys Delivers 2x Verification Speed-up With VCS Multicore Technologyによると、 シミュレーションが2倍速くなるようです。やっと、MultiCoreが有効に! Multicore Verification ― Don’t Waste Your Cores!もチェックし…
Verification Engineerの戯言」 VMM-LP本のPage 136の下記のコード(Example 7-7) ral_read_PWR_CTRL_in_dut(dut, &pwr); ral_write_PWR_CTRL_in_dut(dut, &pwr); このコードを検証で使うのは分かるが、商品のコードはたぶん、こんな書き方しないでしょう! …
Verification Engineerの戯言 vmm_lp_designクラスの説明はAppendix Aにあるのだが、 ユーザーマニュアルが無いのでどのように使うかが分かりません。 VMM-LP Base Classをリリースするときには、Reference Manualだけでなく、User Manualもほしい! うー、…
Verification Engineerの戯言 vmm-lp本 読んでます。と同じ感想です。 VMM-LPのSystemVerilog Libraryの説明はほとんどありません。 Appendix-AにVMM-LP Base Class and Application Packageの説明がありますが、 これだけではどのように使ってよいのかがさ…
Verification Engineerの戯言 Richard GoeringさんがSCDsourceからCadenceに移りました。 そんでもって、既にブログを書き始めています。 Home > Community > Blogs > Bloggers > Richard Goering Industry Insights Blog Aims for Broad Perspectives Why T…
Verification Engineerの戯言 OVPをSpecman/ISXと使うためのアプリケーションノートが公開されています。 Using OVP with Specman/ISX Poseidon Software提供 SpecmanのC言語インターフェースを使って、OVPと繋いでいます。 検証、Verification、OVP、Open V…