Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2009-06-01から1ヶ月間の記事一覧

SystemC : Cofluent (その1)

Verification Engineerの戯言 HDLabがCofluent Designの代理店になったことを先日知りました。 HDLabでは、Cofluentの半日無料セミナーを開催しています。次回は、7/21(火)と8/19(水)です。 Cofluentは、昨年のEDSFair2008で知りました。 そのときはブースも…

Managing an adaptive verification environment with OVM

Verification Engineerの戯言 EEDesignにOVMのConfigurationに関する論文Managing an adaptive verification environment with OVMがアップされました。 STMicroelectronicsとCadenceの共著です。 Static ConfigurabilityとRun-Time Configurabilityについて…

HTML OVM Class Library Documentation Now Available

Verification Engineerの戯言 OVM 2.0.2のドキュメント(HTML版)がOVM Worldにアップされました。 検証、Verification、SystemVerilog、OVM、Open Verification Methodology

SystemCでのコンパイル時間を短くするには!

Verification Engineerの戯言 SystemCでソースコードを変更してシミュレーションをするには、長いコンパイル時間を待たなければいけません。 CadenceのブログSpeeding up SystemC compilation with Incisive SystemCでは、このコンパイル時間を短くするため…

CoWareとEVE

Verification Engineerの戯言 昨年のESL User's Meeting 2008頃から親密になったCoWareとEVE. 今度は、AXIベースSoCのソフト先行開発支援でも手を組んだ。 仮想環境はあくまでもS/W実行なので、SoCの中で新規開発のH/Wの制御S/W部分を開発するには、 EVEのよ…

`timescale は使うな!、いや、、

Verification Engineerの戯言 SystemVerilogでは、timeunit/timeprecisionが導入され、 `timescaleディレクティブの使い機会がほとんどなくなりました。 IntelligentDVのブログThe Return of `timescaleでtimeunitとtimeprecisionの使い方を説明しています。…

SystemVerilog Simulation Timers 1.2.0 Released (with OVM support)

Verification Engineerの戯言 SystemVerilog Simulation Timers 1.2.0 Released (with OVM support)がIntelligent DVからリリースされました。 今回のリリースでOVM対応が済み、これにてVMMとOVMのロギング機能に対応したことになります。 ドキュメントは、T…

`__FILE__と`__LINE__

Verification Engineerの戯言 何らかのメッセージを出力したとき、 そのメッセージがどのファイルのどの行で出力したかを知りたいときあります。 そんなときは、`__FILE__と`__LINE__ マクロを使いましょう。 `__FILE__ : ファイル名 `__LINE__ : 行番号…

OVM 2.0.2 Now Available

Verification Engineerの戯言 DACには、まだちょっと時間がありますが、 OVM 2.0.2 Now Available from Downloads pageのようです。 バグフィックスとドキュメントの改善のようです。 2.0.2は、Questa 6.4{d,e}, 6.5, 6.5{a,b}、IUS 8.1-s016, 8.2-p001, 8.2…

Twitter & DAC

Verification Engineerの戯言 今年のDACは、San Franciscoで7月26日~31日まで行われます。 既にプログラムも公開されています。 Twitterでも、DAC関連の「つぶやき」始まっています。 Real Intent、Synopsys、harry the ASIC guyもつぶやいています。 検証…

OVM Cookbook入手!

Verification Engineerの戯言 Open Verification Methodology Cookbookに書きましたSpringerの本ではありませんが、 MentorがDVCon2009で限定配布版のペーパーバックを入手しました。 このペーパーバックのことは、OVM ForumのOVM Cookbook ?に書いてありま…

OVM Cookbook Example Kit をModelSim AE 6.4aで動かす

Verification Engineerの戯言 久々のOVMネタ。。OVM解説本のサンプル動かしてみましたでOVM Cookbook Example KitをModelSim AE 6.4aで動かした内容がアップされています。 検証、Verification、SystemVerilog、OVM、Open Verification Methodology

Performance advantages of upgrading from ModelSim?? OEM to PE/SE version

Verification Engineerの戯言 Performance advantages of upgrading from ModelSim?? OEM to PE/SE versionのアナウンスメールが届きました。 ModelSim XEユーザだけでなく、ModelSim AEユーザもチェックしてみてはどうでしょうか? 検証、Verification、Mod…

Good News : VCSでOVMが動くようになりました。

Verification Engineerの戯言 IntelligentDV Blogによると、VCS Release C-2009.06でOVMが動くようです。 VCSユーザさん、良かったですね! これで、OVMとVMMは同じ土俵に乗り、本当の意味でのMethodology Warが始まります。 おっと、そうすると、VCSは、Cla…

OVM Cookbook Example Kit

Verification Engineerの戯言 OVM WorldのContributionsでOVM Cookbook Example Kitが公開されました。 検証、Verification、SystemVerilog、OVM、Open Verification Methodology

Open Verification Methodology Cookbook

Verification Engineerの戯言 SpringerからOpen Verification Methodology Cookbookが発行されます。 著者は、Glasser, Mark さんです。 Amazon Japanでも、予約できます。 Amazonでは、$129のところ、$102.77です。 検証、Verification、SystemVerilog、OVM…

AccelleraとSPIRITが合併

Verification Engineerの戯言 AccelleraとSPIRITが合併するようです。 SynopsysのThe Standard Gameより Good move in the Standards Game: Accellera/SPIRIT merger 経費削減かそれとも、、、、 検証、Verification

SystemC Japan 2009の内容:基調講演

Verification Engineerの戯言 SystemC Japan 2009の内容決定!の 基調講演:「TCTモデルによるマルチコアSoC統合最適化設計プラットフォーム」の発表者一色さんの ホームページは、こちら。 研修業績一覧は、こちら 担当講義は、こちら 面白そうな話が聞ける…

Mentorもブログ始めたんだー

Verification Engineerの戯言 Mentorもブログ、始めたようです。 Mentor Blogs カテゴリは、 Electrical Systems and Harness Embedded Software ESL IC DesignMechanical Analysis PCB Systems Design Automotive Solutions あれー。Verificationがないぞー…

SystemC Japan 2009の内容:富士通マイクロエレクトロニクスの事例

Verification Engineerの戯言 SystemC Japan 2009の内容決定!での ユーザー事例講演の富士通マイクロエレクトロニクスの中村さんの内容は、 TLMを活用したソフトウェア早期開発からどの程度、進化したのだろうか? この特許:ソフトウェア/ハードウェア協調…

SystemC Japan 2009の内容:リコーの事例

Verification Engineerの戯言 SystemC Japan 2009の内容決定!でのユーザー事例講演のリコーの木村さんの内容は、 ESL User's Meeting 2008の内容とどの程度ちがうのだろうか? 「ESL環境を用いた無線SoC向けアーキテクチャ探求と早期ソフトウェア開発および…

SystemC Japan 2009の内容決定!

Verification Engineerの戯言 SystemC Japan 2009の開催については、 SystemC Japan 2009は、7月10日に書きましたが、詳細が決まりました。 SystemC Japan 2009 基調講演:1、ユーザー事例講演:3 です。 基調講演 : 「TCTモデルによるマルチコアSoC統合最…

Doxygen Filter for System Verilog 2.3.0 Released

Verification Engineerの戯言 Doxygen Filter for System Verilog 2.3.0 ReleasedがIntelligent DVからリリースされました。 今回のリリースでOVM対応が済み、これにてVMMとOVMのロギング機能に対応したことになります。 ソースコードは、Doxygen Tools (inc…

How VMM can help controlling transactors easily?

Verification Engineerの戯言 VMM BlogのHow VMM can help controlling transactors easily?では、VMM 1.1で導入されたvmm_xactor_iterクラスの使い方について説明しています。 vmm_xactor_iterクラスを使うことで、イタレータで各トランザクタを扱えるよう…

VMM VIP’s on multiple buses

Verification Engineerの戯言 VMM BlogのVMM VIP’s on multiple busesでは、クラスの再利用について説明しています。 moduleのparameterをclassにも導入するとうまくいくと思っていても、結構、ダメなときがある。 そんなときの解決策として、マクロを使う。…

VMM:Did you notice vmm_notify?

Verification Engineerの戯言 Janickさんが語るvmm_notifyの使い方です。 このブログの最後の1行には、 Look for a pre-defined vmm_notify callback subscription class template in the next VMM release… とあります。 では、次のVMMリリース時期はいつか…

Bluespec User Group Meeting 2009

Verification Engineerの戯言 サイバネットシステム主催のBluespec User Group Meeting 2009が6月26日(金)に秋葉原で行われます。 でも、ユーザ発表は1件。。。。 ユーザ発表の順番を最後にした方が話の流れとしてはいいと思うのだが、 ホップ ) テクノロジ…

Generic VMM/XVC Based Verification Environment Methodology

Verification Engineerの戯言 SNUG Israel, 2009でDesignArt社からVMM/XVCの事例: Generic VMM/XVC Based Verification Environment Methodologyの発表がありました。 VMM/XVCの説明は、VMM本にもあまりないので貴重な情報です。是非、チェックしてみてくだ…