Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2009-07-01から1ヶ月間の記事一覧

CQ出版 : 9/11(金):コデザイン&コベリフィケーション・テクノロジ・ワークショップ

Verification Engineerの戯言 9/11(金)にビジョンセンター秋葉原で コデザイン&コベリフィケーション・テクノロジ・ワークショップが開催されます。 申し込み開始は、8月3日(月)から。。。 例年どおり、私もお手伝い程度ですが、、、 検証、Verification

Bluespec SystemVerilog : COUNTERを学ぶ(その3)

Verification Engineerの戯言 Bluespec SystemVerilog : COUNTERを学ぶ(その1) Bluespec SystemVerilog : COUNTERを学ぶ(その2) その2のコードに対して、decrementメソッドを追加します。 まず、interface/endinterfaceにdecrementメソッドを追加します。 …

DAC : EDA's Next Top Bloggerはシノプシスのカレンさん(続編)

Verification Engineerの戯言 DAC : EDA's Next Top Bloggerはシノプシスのカレンさんのときの写真です。 2009年7月3日の日記:EDA's Next Top Blogger 検証、Verification P.S 今日で夏休みは終了。後は、通常の週末。

DAC : Virtual Platform Workshop

Verification Engineerの戯言 DACのVirtual Platform Workshopに関する記事がアップされました。 ・1st Ever Virtual Platform Workshop Deemed a Success ・Accuracy does not imply accuracy!! Virtual Platformを含めてESL関連については、 まずは、ESL D…

Bluespec SystemVerilog : COUNTERを学ぶ(その2)

Verification Engineerの戯言 Bluespec SystemVerilog : COUNTERを学ぶ(その1) mkCounterモジュールに対するテストベンチもBluespec SystemVerilogで記述しています。 テストベンチのファイル名は、TbCounter.bsvです。 import MyCounter::*; (* synthesize…

Twitterに登録してみた

Verification Engineer Twitterに登録してみた。それも、English で! 検証、Verification

DAC : EDA's Next Top Bloggerはシノプシスのカレンさん

Verification Engineerの戯言 Cool Verificationによると、 DACで行われたEDA's Next Top Bloggerは、シノプシスのカレンさんが獲得したようです。 2009年7月3日の日記:EDA's Next Top Blogger 検証、Verification P.S 夏休み中。

恒例のDenali DAC Partyの写真

Verification Engineerの戯言 DAC恒例のDenali Partyの写真です。by JL Grayさん Janickさんとツーショット 検証、Verification

TLM 2.0 : OSCI、リファレンス・マニュアル公開

Verification Engineerの戯言 OSCIからTLM 2.0のリファレンス・マニュアルが公開されました。 ちなみに、TLM 2.0.1対応版です。 また、TLM 2.0.1のソースコードも公開されています。 検証、Verification、SystemC、TLM 2.0

Bluespec SystemVerilog : COUNTERを学ぶ(その1)

Verification Engineerの戯言 Bluespec SystemVerilogでCounterをBSV 101: Designing a Counter (1/09)で学びます。 このCounterについて、6回に分けて書きていきます。 Bluespec SystemVerilogのコードは、BSV 101: Designing a Counter (1/09)の中と同じも…

SystemC : DACでGreenSocsがチュートリアルを実施

Verification Engineerの戯言 GreenSocsがDACでチュートリアルを実施しました。 内容は、 The Agenda: 8:30-9:00 : FREE Breakfast 9:00-9:15 : Overview of GreenSocs and release 3.0.0 9:15-10:15 : Panel discussion 10:15-11:00 : Tutorial, "Efficient…

VMM : Introducing VMM 1.2

Verification Engineerの戯言 Synopsysのブログ、Verification Martial Artsより、Introducing VMM 1.2 Parameterization: VMM 1.2 adds new classes and concepts to provide additional functionality and flexibility. We have added parameterization su…

JL Grayさん、VMM 1.2語る

Verification Engineerの戯言 Cool VerificationのJL GrayさんがVMM 1.2を語ったようです。 Something Old, Something New: Monday at DAC Twitterは、こちら 検証、Verification、SystemVerilog、VMM、Verification Methodology Manual

DAC、始まる

Verification Engineerの戯言 今週の月曜からDACが始まりました。 各サイトでブログにアップされています。 検証、Verification

Bluespec SystemVerilog : HELLO WORLDを学ぶ(その6)

Verification Engineerの戯言 HELLO WORLDを学ぶ(その1) HELLO WORLDを学ぶ(その2) HELLO WORLDを学ぶ(その3) HELLO WORLDを学ぶ(その4) HELLO WORLDを学ぶ(その5) (その5)の最後のコードからSystemCコードを生成してみましょう! なお、SystemCコードを生成…

VMM : 1.2ベータプログラム開始

Verification Engineerの戯言 VMM 1.2ベータプログラムが開始されました。 Register for the VMM 1.2 Beta Release 目玉は、 SystemC/SystemVerilog TLM 2.0 Support * VMM Channel to TLM 2.0 interface かな? SystemC版はかなり前から存在するという話は…

Bluespec SystemVerilog : HELLO WORLDを学ぶ(その5)

Verification Engineerの戯言 HELLO WORLDを学ぶ(その1) HELLO WORLDを学ぶ(その2) HELLO WORLDを学ぶ(その3) HELLO WORLDを学ぶ(その4) (その4)のカウント値の比較を別のルールに記述することも可能です。 すべてのルールは並列に動作するので、end_runルー…

Aldecが25周年

Verification Engineerの戯言 Aldec Celebrates 25 Yearsによると、Aldecは25周年になったようです。 Model Technologyのようになるか? 検証、Verification、Aldec

Bluespec SystemVerilog : HELLO WORLDを学ぶ(その4)

Verification Engineerの戯言 Bluespec SystemVerilog : HELLO WORLDを学ぶ(その1) Bluespec SystemVerilog : HELLO WORLDを学ぶ(その2) Bluespec SystemVerilog : HELLO WORLDを学ぶ(その3) 次の例は、5回だけ、"Hello World" を表示します。 そのために、"…

CQ出版社の組み込みネットでも公開

Verification Engineerの戯言 DWM 最終号のDPI-Cの記事で紹介した 「動作合成とC/C++/SystemC/SystemVerilogの協調検証:Cynthesizerの活用事例」が組み込みネット(半導体ネット)でも公開されています。 太っ腹なのは、CQ出版社、フォルテ、それとも、沖ネッ…

Bluespec SystemVerilog : HELLO WORLDを学ぶ(その3)

Verification Engineerの戯言 Bluespec SystemVerilog : HELLO WORLDを学ぶ(その1) Bluespec SystemVerilog : HELLO WORLDを学ぶ(その2) ここまでの記述をシミュレーションするには、次のコマンドを実行します。 bscコマンドでオプションに -sim を指定しま…

Bluespec SystemVerilog : HELLO WORLDを学ぶ(その2)

Verification Engineerの戯言 Bluespec SystemVerilog : HELLO WORLDを学ぶ(その1) モジュールでは、内部の動作を記述します。Bluespecでは、SystemVerilogのようにモジュールがポートを持ちません。 その代わりにインターフェース・メソッドというものを定…

SystemC : CoFluent (その2)

Verification Engineerの戯言 今、私が一番注目しているSystemC関連ベンダーのCfluentがCoWareとSynopsys用のモデルを生成できるようになったようです。 CoFluent claims SystemC model creation for CoWare, Synopsys tools がんばれ!、CoFluent! 検証、V…

Bluespec SystemVerilog : HELLO WORLDを学ぶ(その1)

Verification Engineerの戯言 Bluespec SystemVerilog : でHello WorldをBSV Hello World Tutorialで学びます。 このHELLO WORLDについて、6回に分けて書きていきます。 Bluespec SystemVerilogのコードは、BSV Hello World Tutorialの中と同じものです(コ…

SystemC : CtoSから1年

Verification Engineerの戯言 昨年のCDNLive! Japanで発表されC-to-Slicon Compiler(CtoS)は、この1年でかなりの実績を上げているようです。 そして、今年のCDNLive! Japanでは、 Cadence Introduces First TLM-Driven Design and Verification Solution to …

SystemC : 11th NASCUG Meeting Agenda

Verification Engineerの戯言 DACにて行われる11th NASCUG Meetingのアジェンダが公開されています。 この中で、 Modeling a Virtual MPU by David C Black, XtremeEDA, USA High-speed Packet Router Development in SystemC by William Gnadt, Lockheed Ma…

Bluespec SystemVerilog : この暑い夏に、Bluespec SystemVerilogを学びます!

Verification Engineerの戯言 この暑い夏に、Bluespecを学びたいと思っています。 最初に、Bluespecが公開している文書(チュートリアル)をベースに実際にコンパイル等を行い、 どんな感じになるかを確認しながら進めていきます。 Bluespecが提供しているコン…

OVM at DAC 2009

Verification Engineerの戯言 OVM at DAC 2009: Moscone CenterにDACでのOVM関連スケジュールが載っています。 4日間で、24セッションもあります(多少、重複しているものもありますが) Sunburst Design & Sutherland HDL present: SystemVerilog-2009 - Enha…

VMMは main、OVMは run

Verification Engineerの戯言 VMMではvmm_xactorクラスの実行部分のmainタスク、OVMのovm_componentクラスの実行部分はrunタスク。 VMM : protected virtual task main(); OVM :virtual task run() VMMではprotectedを付けている。一方、OVMではprotectedを…

generic functional coverage solution based on vmm_notify

Verification Engineerの戯言 A generic functional coverage solution based on vmm_notifyでは、 Functional Coverageの実装方法について語ってくれます。 OVMでは、Functional Coverageについてはあまり記述がないので、OVMユーザにも参考になると思いま…