Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2009-09-01から1ヶ月間の記事一覧

OVM : An OVM Register Package V 1.1(その4)

Verification Engineerの戯言 例題の変換ツールで出力されるコードでは、つぎのようなクラスが出力されます。 class xbus_data_reg extends rgm_reg; class xbus_config_reg extends rgm_reg; class xbus_rf_type extends rgm_reg_file; class xbus_am exten…

HLS : System RacerからC-to-Verilogに!

Verification Engineerの戯言 9月18日(金)に日記C-to-Verilogで紹介したC-to-Verilogの元の技術は、System Racerというものです。 イスラエルのHaifi大学の技術です。 C-to-VerilogのWebのContactのペインをクリックすると、 Nadav Rotemさんにアクセスする…

ModelSim DE

Verification Engineerの戯言 ModelSim DEなるものがリリースされた。 ModelSim PEに対して、Debug機能とCode Coverageを追加した感じ。Assertion-Based Verificationも! Assertion-Based Verificationは、ModelSim PEでも使えるようだが、、、 ModelSim PE…

CQ出版 : 組み込みネットの山本靖さんの記事

Verification Engineerの戯言 山本靖さんの記事がCQ出版社の組み込みネットにアップされています。 ドミナント・デザイン化したFPGAからは創造的破壊は生まれないのか? FPGA開発も既にASIC開発と同じ、実装だけでなく、検証も同じなんだけど、たぶん従来ど…

HLS : UCLAのxPilotのプレゼンテーションビデオ

Verification Engineerの戯言 いつのプレゼンテーションかはわかりませんが、 AutoESLの元になったUCLAの技術のプレゼンテーションビデオ Platform-Based Electronic System-Level(ESL Synthesis) by Prof. Jason Congを見つけました。 1:17:55で、2008.6.6…

SystemC : Verify2009、ルネサスの事例

Verification Engineerの戯言 先週の金曜日(9/18)に行われたVerify2009のルネサスの事例がEDAOnlineにアップされました。 「高位設計への取り組み」狙い ・設計の効率化 ・設計の最適化 ・設計誤りの削減 ・設計再利用化促進 効率化、誤りの削減、再利用化促…

DPI-C : Hardware/Software Co-Verification Using the SystemVerilog DPI

Verification Engineerの戯言 Hardware/Software Co-Verification Using the SystemVerilog DPIは、DPI-Cを使ってISSを接続するというお話。 ISSでソフトウェアデバッガが使えるというのがメリット。 ISSが既に手元にある場合は、このアイデアはすごーくいい…

DPI-C : カスタマーレビュー

Verification Engineerの戯言 Amazon.co.jpに、C言語テストプログラムによるハードウェア検証技法のカスタマーレビューを見つけました。 うれしかったです。 検証、Verificatin、SystemVerilog、DPI-C

OVM : OVC Compliance Checklist

Verification Engineerの戯言 ケイデンスがOVC Compliance ChecklistをOVM Worldにアップしました。 OVM 2.0.2対応です。 チェックリストだけだとちょっとつらいのでツールが出ないのかなーと思って、よーく読んでみたら、 同じようなこと(全く同じではない…

祝:1000エントリ

Verification Engineerの戯言 2007年3月3日に本ブログを開設してから、本エントリが1000エントリ目になります。 結構、書いたのですね。自分でも、結構、びっくりしています。何しろ、日記など書いたことないのですから! で、今までのエントリの統計は、 ト…

OVM : ModeSim AEでrandomizeが使えないエラーの対応

Verification Engineerの戯言 ModelSim AEのでOVMを使うときに、vsimコマンドで次のようなエラーが発生したときの対応を All of SystemVerilogにアップしました。 # ** Fatal: hierarchy.sv(107): Unable to check out verification license for randomize()…

HLS : C-to-Verilog

Verification Engineerの戯言 今日、あるキーワードを検索したら、C-to-Verilogというサイトを見つけました。 WebベースでC言語のコードを入力すると、RTLのVerilog HDLがダウンロードできるというもの。 ユーザー登録無しです。 検証、Verification、HLS

OVM : ModelSim AE & MINGW32/MSYS & OVM

Verification Engineerの戯言 All of SystemVerilogにModelSim AEでOVMを使ってみるを追加しました。 ご利用ください。 ModelSim AEをMINGW32/MSYS上で動作させれば、OVMを簡単に使えます。 検証、Verification、SystemVerilog、OVM、Open Verification Meth…

DPI-C : All of SystemVerilogのSystemVerilog DPI-Cを更新しました

Verification Engineerの戯言 All of SystemVerilogのSystemVerilog DPI-Cに関連記事を追加しました。 ご利用ください。 検証、Verification、SystemVerilog、DPI-C

JSNUG 2009正式アナウンス

Verification Engineerの戯言 本日(9/17)、日本シノプシスからJSNUG 2009の正式アナウンスがありました。 VMM関連のセッションは、3つ。 A-6 : コンフィギュラブル・インターコネクトシステム向けアダプティブ・テストベンチの構築 A-7 : マイコン向けマクロ…

OVM : An OVM Register Package V 1.1(その3)

Verification Engineerの戯言 変換ツールを使った例題は、 examples/ipxact_exampleを WindowsXP SP3 + MinGW 5.1.3 + Sun Java(6 Update13)環境 で実行してみました。 java -jar $REGMEM_HOME/builder/ipxact/regmem_ipxact2sv_parser.jar \ -i $REGMEM_HOM…

e : Specman-Matlab package

Verification Engineerの戯言 Specman-Matlab packageが公開されています。 ドキュメントを見てみたら、Specman C interface と C API for Matlabで実装しているようです。 検証、Verification、e、SpecmanElite

HLS : AutoESLのJason Cong氏のインタビュー

Verification Engineerの戯言 かなり古い(2006.11.6)ですが、Jason Cong氏へのインタービューです。 ちなみに、Jason Cong氏のホームページは、こちら。 検証、Verification、HSL

OVM : 富士通研究所の事例

Verification Engineerの戯言 日経の小島さんが記事にするまで待とうと思いましたが、どうやら、その気配がない。 メンターのセミナー(DA Tech Forum 2009)の OVMを用いた検証の生産性と品質向上 by (株)富士通研究所 高山浩一郎氏 では、富士通が開発したCe…

HLS : AutoESLのAutoPilot

Verification Engineerの戯言 今年のDACでも話題になったHLS。 今までプロダクトの情報が公開してなかったAutoESL。 そのAutoESLのプロダクトAutoPilotのデータシートが公開されました。 データシートの中の AutoPilot has an extensive list of features no…

JSNUG2009は、

Verification Engineerの戯言 今年のJSNUGは、2009年10月14日(水)です。 場所は未定。ちなみに、昨年は品川コンファレンスセンター。 検証、Verification

HLS : CQ出版 9/11ワークショップ、HLS関連セッション

Verification Engineerの戯言 コデザイン&コベリフィケーション・テクノロジ・ワークショップのシンセシス・トラックの内、 B-2およびB-3を聴講しました。 (B-4は、S-3のパネルディスカッションに出たため聞けませんでしたが、資料は入手済み) 【B-2】高位…

CQ出版 : 9/11ワークショップを終えて

Verification Engineerの戯言 本日(9/11)、無事、コデザイン&コベリフィケーション・テクノロジ・ワークショップが終わりました。 私のセッションは、登録者数は100を軽く超えていました。 会場にある席は、100ちょっと。 で、結果は席がないという状況には…

SystemVerilog : FPGA Simulation本と例題

Verification Engineerの戯言 FPGA Simulation: A Complete Step-by-Step Guide本の例題がアップされています。 Free SystemVerilog Simulators?という記事もあります。 検証、Verification、SystemVerilog

VMM : SNUG Boston 2009

Verification Engineerの戯言 9/21(月)、22(火)にSNUG Boston 2009が開催されます。 VMM関連は、 ・ User Paper - Building a Best Practice VMM Interface VIP Template ・ User Paper - E To SystemVerilog Conversion ・ User Paper - SystemVerilog's Vi…

CQ出版 : 9/11ワークショップ、いよいよ明後日

Verification Engineerの戯言 いよいよ、明後日(9/11:金)になりました。 コデザイン&コベリフィケーション・テクノロジ・ワークショップ 私のセッションは無料効果もあり、既に100名を超える申し込みがあります(うれしいーーー)。 無料ですが、ハンドアウ…

Trusser : Welcome to Trusster 3.

Verification Engineerの戯言 Trusserがサイトを一新しました。 Welcome to Trusster 3.0 検証、Verification、Truss/Teal

SpringSoft Community Conference

Verification Engineerの戯言 SpringSoft Community Conferenceが10月7日(水)に新横浜国際ホテルで行われます。 カクテルパーティ付きです。 検証、Verification

HLS : EDAOnlineの記事、富士通マイクロソリューションズの事例

Verification Engineerの戯言 HLS : 富士通マイクロソリューションズの事例に書きましたユーザー事例の記事が EDAOnlineにアップされました。 図Catapult C Synthesisを使った設計フローにある3つのC言語モデル。 この3つのC言語モデルの内、最初のモデルは…

OVM : An OVM Register Package V 1.1(その2)

Verification Engineerの戯言 IP-XACTファイルからreg_memのSystemVerilogコードに変換するには、次のようなコマンドを実行します。 % java -jar $REGMEM_HOME/builder/ipxact/regmem_ipxact2sv_parser.jar \ -i <ipxact_register_model_file_name> [-o <output_sv_file_name>] \ [-ve [none | internal | external]</output_sv_file_name></ipxact_register_model_file_name>…