Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2010-11-01から1ヶ月間の記事一覧

Bluspec、なかなか来ませんね!

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2009年の夏に、Bluespecを思いっきり取り上げ、 SystemVerilogの世界へようこそにも専用のページBluespec SystemVerilogまで作ったのに。 な…

RALでDPI-C

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった You can also “C” with RALでは、RALでDPI-Cを使って、 Firmware C CodeをCosim APIでアクセスするようです。 でも、記事の中の(引用)Cコー…

NSLとは、なんぞや => 調べて、まとめました

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった EDAOnlineに 【ETプレ】EclipseベースのGUIを備えた「和製」高位合成ツール,国内EDAベンチャーのオーバートーンが発表。 そんなの知らない…

私も使ってみたいNextOpのBugScope

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日はお休みです。朝からブログ書いています。 私、寒いの嫌いです。辛いです。あの暑い、夏が懐かしいです。あー。 本題に入ります。 昨日…

NextOpのBugScopeは、使ってみたいツール?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった DeepChipのSubject: NextOp BugScope, Zocalo Zazz, Breker Trekを読んでみたら、 NextOpのBugScopeは結構期待されているようです。 BugScop…

来年のSystemC Japanは、7月8日(金)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった まだ今年も終わっていませんが、 来年のSystemC Japanは、7月8日(金)です。 今すぐ、予定表に書き込みましょう! 検証、Verification、Syste…

VHDLでSVAを使うと

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemVerilog Assertions for VHDLでは、 SystemVerilog AssertionをVHDLコードに適応すると、 VHDLの出力ポートをSVAの入力ポートにバイン…

Verification Planning and Management Introduction Module

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MentorのVerification Academyに、 Verification Planning and Management Introduction Moduleがアップされました。 内容は、 Why Plan? 23…

VMM RALでISR

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Modeling ISRs with VMM RALVMM RALを使って、ISR(Interrupt Service Routine)を実現するというもの。 VMM RALは、レジスタアクセスのための…

祝:1500エントリ

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 上位10位までは、下記の通り OVM 218 映画好きの戯言 194 VMM 139 検証全般 122 SystemVerilog 109 SystemC 109 UVM 81 Mentor 53 HLS 51 Bl…

ケイデンス ESLコミュニティ・セミナー 2010

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2010年12月9日(木)に日本ケイデンス・デザイン・システムズ主催の ケイデンス ESLコミュニティ・セミナー 2010が行われます。 私は、申し込…

DeepChip:Migrating from FPGA emulation to Cadence Palladium

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった FPGA PrototypingからEmulatorへにて、FPGAからEmulator(Palladium)への移行について書きましたが、 DeepChipのMigrating from FPGA emulati…

ハードだけじゃだめなんだって!ハートがないと!

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ:System Bring-Up - THE Critical Path in the System Development Process の最初の行で、引用 The electronic industr…

Virtual Panel Discussion

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 本当に久しぶりに、CoolVerificationがアップされた。 Virtual Panel Discussion: System Level Verification on Thursday, November 18 時…

アリス・イン・ワンダーランド/ALICE IN WONDERLAND(2010)

映画好きの戯言 約1ヶ月ぶりにDVDにて映画鑑賞をしました。 タイトルは、アリス・イン・ワンダーランド/ALICE IN WONDERLAND(2010) なぜ1ヶ月もDVDにて映画鑑賞をしなかったのは、今月(10月)の映画鑑賞でも書きましたが、通勤時間が長くなり 2時間の鑑賞時間…

FPGA PrototypingからEmulatorへ

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった FPGA PrototypingからEmulatorへ持っていくのって、それなりに大変です。 検証プランにてやることを決めていれば、FPGA Prototypingのときに…

ZocaloでSVA

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった DAC2010でも話題になったZocalo Tech。 今回は、Zocalo TechのWHITE PAPERを読みました。 ENABLING ASSERTION BASED VERIFICATION(18ページ…

EDA Expressの記事:Catapult Users Forum : 富士通九州ネットワークの事例

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった EDA Expressに、2010年10月22日(金)にメンター本社で行われた「Catapult Users Forum」での事例について、「MATLABからの高位合成フローを確…

たくさんあるんだよ、ABVの記事って

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ: Verification Goldmine: 50 User Papers on Formal, Multi-Engine, and Assertion-Based Verification (ABV) では、AB…

EDAOnlineの記事 : リコーの事例

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Cynthesizerユーザー交流会2010の事例発表 : リコーでは、発表内容の詳しいを書かなかったのは、 当日、日経の小島さんがいらしていたから…

AMIQのDVT

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ:"We Want UVM 1.0! When Do We Want it? Now!" の最後の方にあったAmiqのDVTがUVMコンプライアンス・チェッカーを実装…

ここまで使えば、凄いとしかいえない、、、

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2010年10月12日に行われたCyberWorkBench Forum 2010での事例発表 複雑なアルゴリズムのハード化に高位合成は不可欠 NECのCyber活用事例 がE…

Cynthesizerユーザー交流会2010の事例発表 : リコー

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Cynthesizerユーザー交流会2010の事例発表のその2。 大規模ASICへの動作合成適応事例 by リコー 伊地知さん T氏やK氏のプレゼンとは、違って…

Cynthesizerユーザー交流会2010、番外編

Verification Engineerの戯言(実は、ゆいごん、と読みます by A子) : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は、午後休暇を取って、Cynthesizerユーザー交流会2010に参加しました。 内容はおって、今回は懇親…

DeepChip:Catapultユーザーから

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 久しぶりに、DeepChipに記事がアップされました。 今回紹介するのは、Mentor CatapultC user on control logic synthesis and AC Channelsで…

Aldec : 2010.10リリースされる

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AldecのRiviera-PROの2010.10が公開されました。ダウンロードもできます。 2010.10にて、 ・OVM and UVM Support ということで、OVM 2.1.1お…

Verification Checklist

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Electronic DesignのThe Verification Checklistでは、SoCを検証する時のチェックすべき事柄について、ざっくり、説明してくれます。 あくま…

EDA Expressの記事:JSNUG2010 : Platform Architectureの事例

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった JSNUG2010 : Platform Architectureの事例でリアルタイム・ブログとしてアップしましたが、 EDA Expressにより詳細な模様が、 【JSNUG】Syst…