Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2010-03-01から1ヶ月間の記事一覧

Mentor : ModelSim Actel Edition 6.5d

Verification Engineerの戯言 : SystemVerilogの世界へようこそ ModelSimには、Altera Edition、Xilinx Editionがあり、ユーザ登録すれば無償で利用できます。 で、ActelでもModelSimの無償バージョン(Actel Edition 6.5d)もユーザ登録すれば利用できます。 …

SystemVerilog > e

Verification Engineerの戯言 When Less Is More, Part 1: Is e Really Up to 3x More Compact Than SystemVerilog?を読むと、eのコード量はSystemVerilogより圧倒的に少ない。 でも、どうもeになじめないが、仕事で使うことになれば、それなりにやることに…

SystemC-AMS Simulator

Verification Engineerの戯言 : SystemVerilogの世界へようこそ SystemC-AMSの仕様書は、OSCIから公開されていますが、 SystemC AMS implementationは、SystemC-AMSで公開されています。 また、SystemC AMS Building Block Libraryも同じサイトで公開されて…

SystemC-AMS : sca_module

Verification Engineerの戯言 : SystemVerilogの世界へようこそ SystemC-AMSでは、各モジュールはsca_moduleクラスを継承します。 このsca_moduleは、sc_core::sc_moduleクラスを継承していますが、 • SC_CTOR. • SC_HAS_PROCESS. • SC_METHOD. • SC_THREAD.…

今月(3月)の映画鑑賞

映画好きの戯言 今月は、9本(劇場:2本、DVD:5本、GyaO:2本、テレビ:0本) ナイト ミュージアム2/NIGHT AT THE MUSEUM: BATTLE OF THE SMITHSONIAN(2009)(DVD) GOEMON(2008)(DVD) ディストラクション 合衆国滅亡<未>/LIVING HELL(2008)(GyaO) バタフライ・エ…

NINE(2009)

映画好きの戯言 NINE/NINE(2009)を観てきました。 アカデミー賞受賞俳優がぞろりとそろったミュージカルということで期待して観てきましたけれども、 ちょっと期待はずれでした。 Daniel Day-LewisとSophia Lorenはいただけなかった。Sophia Lorenは理解…

Mentor : Reuse in the Real World -- Proving the Accellera VIP Interoperability Kit

Verification Engineerの戯言 : SystemVerilogの世界へようこそ 先日公開されたVerification Horizonsの2010年2月号、 その中にReuse in the Real World -- Proving the Accellera VIP Interoperability Kitというものがあります。 これは、OVM/VMM Interope…

Macさん、HLSを語る

Verification Engineerの戯言 : SystemVerilogの世界へようこそ Cadenceのブログ、&A: What Cadence Has Learned About High Level Synthesisでは、 Mike "Mac" McNamaraさんがRichard Goeringさんからのインタビューを受けるという形で、 CadenceのHLSにつ…

SNUGが20周年

Verification Engineerの戯言 : SystemVerilogの世界へようこそ 来週(3/29-31)に行われるSNUG San Jose 2010は、SNUG 20周年になるようです。 Patinum Sponsorsが今年のFabへの投資トップ4の内3社とARM、IBM。そう、Intelがいないだけ! Gold Sponsorsは、FP…

Bluespec : パナソニックのUniPhierで採用

Verification Engineerの戯言 : SystemVerilogの世界へようこそ EDA Expressによると、 パナソニック、システムLSIの設計・検証用に米BluespecのESL合成ツールを採用したようです。 記事にもあるように、 Bluespecの最大なる利点は、高位合成に不向きと言わ…

Synopsys、CoWare買収完了

Verification Engineerの戯言 : SystemVerilogの世界へようこそ 今日、日本シノプシスからCoWare買収完了のお知らせメールが来ました。 シノプシス、CoWare社の買収を完了 検証、Verification、Synopsys

Beneware : Verification Studio 1.0

Verification Engineerの戯言 : SystemVerilogの世界へようこそ EDAOnlineによる、 【DATE 10】RTL設計データからSystemVerilog検証パターンを生成するツール,フィンランドBenewareが展示というツールがあるそうな。 Beneware Inc. このツールを使うと、VHD…

CadenceもUCISを採用?

Verification Engineerの戯言 : SystemVerilogの世界へようこそ CadenceのブログAn Inside Look At The Unified Coverage Interoperability Standardによると、 CadenceもAccellera Unified Coverage Interoperability Standard (UCIS)をサポートしていくよ…

すべては、SystemC v0.9公開から始まった。

Verification Engineerの戯言 すべては、SystemC v0.9公開から始まった。というのをアップしました。 内容については、いろいろなサイトからの情報をチェックしましたが、多少時期がずれているかもしれません。 検証、Verification、SystemC

OVM Golden Reference Guide

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVM Golden Reference Guideが公開されました。 本は、Doulosから$30で購入できます。 こちらは、Twitterで知りました。 検証、Verification、SystemVerilog、OVM、Open Verification Methodol…

VMM : Golden Reference Guide

Verification Engineerの戯言 : SystemVerilogの世界へようこそ The VMM Golden Reference Guideがダウンロード可能です。 本になっているものは、$30のようです。 検証、Verification、SystemVerilog、VMM、Verification Methodology Manual

Doulos : Introducing VMM 1.2

Verification Engineerの戯言 : SystemVerilogの世界へようこそ DoulusのIntroducing VMM 1.2を観ました。 10分程度なので、VMM 1.2全部は語っていませんが、 TLM 2.0などの重要な項目については知ることができますよ! 検証、Verification、SystemVerilog、…

Mentor : Transaction-Based Testbench Methods Speed Veloce Hardware Acceleration

Verification Engineerの戯言 : SystemVerilogの世界へようこそ 先日公開されたVerification Horizonsの2010年2月号、その中にTransaction-Based Testbench Methods Speed Veloce Hardware Accelerationというものがあります。 これは、Mentor :xRTL Compil…

EVEのサイクルベース対トランザクションベースのデモ

Verification Engineerの戯言 : SystemVerilogの世界へようこそ EVEのサイクルベース対トランザクションベースのデモ、結構おもしろいです。 Mandelbrotと呼ばれるフラクタルを計算するもので、処理スピードの違いを見せてくれます。 トランザクションベース…

Sigasi HDT

Verification Engineerの戯言 : SystemVerilogの世界へようこそ 久しぶりのVHDLネタ!こちらもTwitterから! Sigasi HDTは、an Intelligent Development Environment (IDE) for VHDL, available as an Eclipse pluginです。 関連ブログ:Why hardware designe…

OVM 2.1.1リリース

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVM 2.1.1が公開されました。 Bug Fixのようです。 今回は、Twitterで知りました。 P.S 詳細は、OVM 2.1.1 Now Ready for Downloadをチェックしてください。 検証、Verification、SystemVerilo…

祝 : 60000訪問者達成!

Verification Engineerの戯言 : SystemVerilogの世界へようこそ 本日、60000訪問者を達成しました。 (前回より、ちょっとだけ、早くなりました) 2010年 3月20日(60000訪問者、122日、約82人/日) 2009年11月19日(50000訪問者、130日、約76人/日) 2009年 7月 9…

CadenceのSystemC-AMS

Verification Engineerの戯言 : SystemVerilogの世界へようこそ SystemC AMS – A New Proposal For Mixed-Signal Verificationは、先日発表されたSystemC-AMS関連の記事です。 これによると、CadenceのAMS Designerは、SystemC, Verilog-AMS, VHDL-AMS, Veri…

VMM : vmm_tlm_analysis_exportクラス

Verification Engineerの戯言 : SystemVerilogの世界へようこそ vmm_tlm_analysis_portクラスのインスタンスと接続できるのは、vmm_tlm_analysis_portクラスのインスタンスです。 このことは、VMM : PortとExportで説明した、vmm_tlm_b_transport_portとvmm…

UVM : TLM 2.0をサポート?

Verification Engineerの戯言 : SystemVerilogの世界へようこそ TwitterでJL Grayさんが TLM 2.0 features will be included in the UVM 1.0 release. とつぶやいています。 私も賛成です。 いまさら、TLM 2.0なしでUVMを作っても誰も使わないと思いますから…

Verification Horizonsの2010年2月号が公開!

Verification Engineerの戯言 : SystemVerilogの世界へようこそ MentorのブログFebruary 2010 Verification Horizons Newsletter Now Availableによると、 Mentorの検証関連のVerification Horizonsの2010年2月号がパブリックになりました。 今までは、Mento…

VMM : vmm_tlm_analysis_portクラス

Verification Engineerの戯言 : SystemVerilogの世界へようこそ vmm_tlm_analysis_portクラスは、オブザーバークラス(スコアボードやカバレッジ)へのトランザクションをブロードキャストする場合に使います。 vmm_tlm_analysis_portクラスの定義は、次のよう…

Introduction to VMM 1.2 を見ました(その4)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ Increasing Verification Productivity with VMM Applications, Ambar Sarkar, Paradigm Works を見ました。これで終わりです。 RALの説明です。結構、詳しいです。 Paragigm Worksが提供して…

THE HURT LOCKER(2008)

映画好きの戯言 今年度のアカデミー作品賞受賞作のハート・ロッカー/THE HURT LOCKER(2008)を見てきました。 この映画、おもしろくありません。イラク戦争&爆破物処理班の話ですから。 それから、ドキュメンタリーっぽくとっているせいか、カメラの手ぶれが…

Introduction to VMM 1.2 を見ました(その3)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ Simulation Phasing and Factories in the VMM 1.2, JL Gray, Verilab を見ました。 JL Grayさんのプレゼンテーションです。 日本でのプレゼンテーションと同じものを使っています。 (ただし、…