Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2011-02-01から1ヶ月間の記事一覧

ModelSim 6,6c Altera Edition & UVM 1.0.1 p0

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった サンプルコード(examplesディレクトリ)をModelSim 6.6 Altera Editionでシミュレーションしてみました。…

UVM Class Reference Manual 1.0がダウンロードできます。

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AccelleraからUVM Class Reference Manual 1.0がダウンロードできるようになりました。 これに伴い、ソー…

今月(2月)の映画鑑賞

映画好きの戯言 なんだか、週末のDVD鑑賞になってしまった。 今月のポイントは、クレイジー・ハート(主演男優賞)とプレシャス(助演女優賞)でした。 よかったですよ! クレイジー・ハート/CRAZY HEART(2009)(DVD) プレシャス/PRECIOUS: BASED ON THE NOVEL PU…

プロトタイピング用FPGAは、Xilinxの方が多い!

Verification Engineerの戯言 : [http://mobile.twitter.com/vengineer Twitter]、Twitter新聞:[http://paper.li/Vengineer Daily Vengineer's] [http://sites.google.com/site/allofsystemverilog/ SystemVerilogの世界へようこそ]、[https://sites.google…

SystemCのおまとめサイト

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Twitterからの情報で、SystemCのおまとめサイト:bambooflow Note : SystemCというのがあります。 すべて…

The Role of Coverage in Formal Verification

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった The Role of Coverage in Formal Verification, Part 1 of 3 The Role of Coverage in Formal Verificatio…

再度、Model & Verification 勉強会の告知

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 再度、告知です。今回はやります。一人ではないので! => どうやら、今回もダメになりそう。。。(2/27) 今…

Register Programming using RAL package

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Register Programming using RAL packageでは、VMM-RALにてread/writeアクセスの例を示しています。 引用 …

UVM 1.0の内容

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AccelleraのAccellera's Verification Intellectual Property (VIP) and Universal Verification Methodol…

AccelleraがUVM1.0をアナウンス

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった UVM1.0がAccelleraからリリースされました。 ダウンロードには、アカウントが必要です。どうすれば、アカ…

Migrating Legacy File-Based Testbenches to VMM

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ファイルベースのテストベンチ、つまりテストパターンをファイルに書き、 そのファイルを読み込むようにし…

Brian Bailey氏のSCE-MI 2.1のビデオ

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった "New Standards and Interface Technical Committee Update",Brian Baileyというビデオがアップされていま…

UVM 1.0が正式に承認されました

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった どうやら、UVM 1.0が決まったようです。 Twitterに流れました。 ケイデンスのブログ: Accellera Approves…

UVM-MS(Mixed Signal)

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった UVM-MS – Metric-Driven Verification for Analog IP and Mixed-Signal SoCsでは、 CadenceがLSI Corpと共…

AlteraがXilinxに肉迫

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 日経EDAOnlineのAlteraがXilinxに肉迫、PLD大手の2010年第4四半期決算によると、 AlteraがXilinxに近づい…

PW UVM Scoreboard Version 1.0

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった UVM WorldのContributionに、PW UVM Scoreboard Version 1.0がアップされています。 でもまだ、UVM 1.0正…

AMDのCoverageグレーディングって?

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Managing coverage grading in complex multicore microprocessor environmentsでは、 AMDがSynopsysの環…

Cadenceのブログに0-Inが?

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった What Could Be Simpler than a Request-Acknowledge Handshake?では、 Cadenceのサイトなのになぜか?0-In…

Breker Verification SystemsのTrekって?

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった LindenInの中を散歩していたら、Graph Based Verificationというのがありました。 具体的には、Breker Ver…

Modeling & Verification勉強会の告知です。

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今回は、告知です。 イベント後の飲み会は、それはそれで非常にいいのですが、 酒が入ると、なかなかお勉…

IEEE 1666-2011の内容は?

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった IEEE SystemC Standard Revision – Here’s What to Expectによると、 SystemC-2011(IEEE1666-2011)はつぎ…

vmm_sql_db_sqliteの使い方

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった VMMには、SQLiteデータベースを利用できるのは知っていたのですが、どのように使うかがわかりませんでした…

eを選んだ理由

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった My Reason For Choosing e – a Much More Advanced Verification Language. What’s Your Reason?では、 著…

Daily Vengineer's

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Twitterを新聞にしてくれるpaler.liを利用して、新聞作ってみました。 その名は、Daily Vengineer'sです。…

Synphony Model Compiler AE 2010.12A

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MicrosemiがActel FPGAの設計フローでSynopsysの高位合成「Synphony」をサポートで知ったので 早速チョック。 Synphony Model Compiler AE 2…

SystemVerilogで2位に上昇

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 私のSystemVerilogのサイト:SystemVerilogの世界へようこそがGoogle検索で2位に上昇。 残りは、SystemVerilog Wikipediaのみ! 検証、Verifi…

RTL設計者は、直ちに、ESLにシフトせよ、そして、S/Wを身につけよ!

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Panelists: “Designer of Future” Needs New Hardware, Software Skillsは、 DesignConの"Who is the Designer of the Future?"というパネル…

MDV(Metric-Driven Verification)とは?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MDVのホワイトペーパー MDVは、Silicon Realizationのキーのようです。 とりあえず、メモとして残しておきます。 1ページ目のINTRODUCTIONに…

SystemCでHLSをやってみたいが

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ SystemC: It's Neither Complicaited Nor Belligerent! と、 De-Mystifyling SystemC: What is TLM? は、まだRTL設計を…

LinkedInに登録してみました

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ちまたでは、Facebookが騒がれていますが、Verification Engineerとしての繋がりを考えると、 ちょっと違うので、LinkedInに登録してみまし…