Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2011-03-01から1ヶ月間の記事一覧

UVM Reference Flow Version 1.02

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日で日本的な年度が終わりましたね! 明日から新しい職場、同僚と働く方もいると思います。 我々には、未来がありますので、前向きにがん…

今月(3月)の映画鑑賞

映画好きの戯言 今月は、10本。週2本、ちょっと多くなりました。 バイオハザード IV アフターライフ/RESIDENT EVIL: AFTERLIFE(2010)(DVD) フローズン・リバー/FROZEN RIVER(2008)(DVD) パリより愛をこめて/FROM PARIS WITH LOVE(2010)(DVD) ミスティック…

UVM : Command Line Interface (その4)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった UVM : Command Line Interface (その1)、 UVM : Command Line Interface (その2)、 UVM : Command Line Interface (その3)の続きです。 +…

SystemVerilogへにシフトが加速

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Wally Rhines DVCon 2011 Keynote の資料を見ると、これから使用する言語のSystemVerilogへのシフトが加速しそうです。 私が2006年に講演用…

UVM : Command Line Interface (その3)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Command Line Interface (その1)、Command Line Interface (その2)の続きです。 次の2つの関数では、ツール名とツールのバージョンを獲得で…

シリコンのファーストサクセスは3割

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Wally Rhines DVCon 2011 Keynote によると、シリコンのファーストサクセスは3割、イチローの打率より悪い。 この3割は、同一会社で常に3割…

SystemC Japan 2011は、7月15日(金)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨年のSystemC Japan 2010はかなり盛り上がりましたので、 今年もやるようです。SystemC Japan 2011を7月15日(金)にいつものところで。 今す…

Command Line Interface (その2)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Command Line Interface (その1)の続きです。 uvm_cmdline_procに対して、以下のような関数を実行して、コマンドラインの処理を行います。 f…

UVM : Command Line Interface (その1)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった UVM 1.0では、Command Line Interface (CLI)が導入されました。 今回は、このCommand Line Interfaceについて、見ていきます。 Command Line…

HLSの使い方

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった DeepChipにA survey of 1,133 engineers on HLS vs. manual RTL time savingsがアップされました。 これによると、39%の人がHLSを使ったこ…

RALとDesignWare VIP

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった A RAL example with Designware VIPでは、Designware VIPをRALで使う例を示しています。 RALからAHB Masterに変換するモデル(RAL2AHB : ral2…

Register Assistant

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verification Horizons 2011.02のAchieving Flawless UVM Testbench Creationには、 メンターのRegister A…

Cadence UVM_RGM2.5 Release

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった UVM 1.0は、VMMのRALベースになりましたが、ケイデンスはUVM 1.0が決まる前から、 UVM_RGMをリリースして…

Cadence : IES, IEV + IEM

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった A Modest Proposal: Using Formal to Close Coverage Gapsでは、 いろいろ方法を使った検証について説明してくれています。 ・Hard-Written …

48個のMicroBlazeを使ったNoC

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 読んでいただける方々がいらっしゃいますので、今日もアップします。 EVE_JAPANのツイートからの情報 Fast Design Productivity for Embedde…

Parameterized Classes, Static Members and the Factory Macros

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 読んでいただける方々がいらっしゃいますので、今日もアップします。 Parameterized Classes, Static Memb…

大規模FPGAを開発する上での4つの改善点

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 読んでいただける方々がいらっしゃるということで、今日もアップします。 RocketBlog - a discussion abou…

日経EDAOnlineの記事

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 日経EDAOnlineに【DVCon 2011】「いつもお金を儲ける機会を探している」,EDA業界の重鎮のJim Hogan氏がNASCUGで基調講演がアップされました…

プラットフォームベース開発とSystemC

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった The Increasing Role of SystemC in System Designでは、SystemCでSoCをモデリングするには? 比較的小さ…

それぞれのUVM 1.0

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verification Horizons 2011.02の掲載されたUVM 1.0の説明について、書きましたが、 今日は、CadenceとMen…

お待たせしました、vimユーザーのために!

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった vim syntax highlighting file for Verilog, Systemverilog and UVM Verilog HDL/SystemVerilog/UVMのキー…

UVM 1.0の説明

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verification Horizons 2011.02のUVM:The Next Generation in Verification Methodologyには、 UVM 1.0の…

Verification HORIZONS 2011.02

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verification HORIZONS 2011.02がアップされています。 Adobe Flashのアプリケーションになっています。 …

Report from EDSFair 2011

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Report from EDSFair 2011では、EVEから日本のEDSFair2011のレポートです。 このレポートに内容によると、…

エンジニアとして生産性を上げるには!(その6)

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer'sSystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった エンジニアとして生産性を上げるには!(その5)から早いモノで2ヶ月が立ちました。1週間単位で時間記録をや…

Thanks UVM

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった DVCon? Are You Sure It's Not UVMCon or MSVcon?にもありますが、 今年のDVconは、UVMとMS(Mixed Signal)…

いろいろなCPU

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった CPUs in FPGAs: many faces to a trendは、Xilinx, Altera, Lattic, Actelで使えるCPUに関する情報満載の…

DvConバブルが終わって、静かになるだろうに?

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった DvConバブルが終わって、静かになるだろうに? って、ツイートしたら、@dennisbrophy さんに、Reply され…

Questa Code/Prime/Ultra

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Mentorは、次世代のQuestaをアナウンスしました。 Mentor Graphics Transforms SoC Integration and Funct…

祝、4周年

Verification Engineerの戯言 : Twitter、Twitter新聞:Daily Vengineer's SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 本ブログを開設したのは、2007年3月3日(土)でした。 あれから、4年が経ちました。 訪問者数も96000を越え…