Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2011-05-01から1ヶ月間の記事一覧

今から e 使いになりたいですか?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ:User View: Where e Outshines SystemVerilog For Functional Verificationでは、 e について、経験豊かなエンジニアが…

Cadence「ハードウェア/ソフトウェア協調開発プラットフォーム・セミナー」

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった EDAExpressに、Cadence「ハードウェア/ソフトウェア協調開発プラットフォーム・セミナー」がアップされました。 当日、@EDAExpressのツイー…

VMM based multi-layer framework for system level verification

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった VMM(オリジナルは、VeraベースのRVM)は基本的にはある程度の規模も機能モジュールを検証するためのフレームワークとして開発されたもの。 そ…

RocketSim

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった @EDAExpressさんのツイートで知ったRocketickのRocketSim。 ホームページ内の技術解説とDemoによると、どうやら、GPUを使ったアクセラレータ…

Pre-RTL Software Development -- You Can't Get Your Product to Market Without It!

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ: Pre-RTL Software Development -- You Can't Get Your Product to Market Without It!では、 5/25(水)に開催されたハ…

Guidelines for SystemC - Debugger Integration

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった & REUSEにGuidelines for SystemC - Debugger Integrationという記事がアップされました。 この記事は、CPU DebuggerをSystmC環境に接続する…

SpringSoftのProtoLink Probe Visualizer

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SpringSoftがProtoLink Probe Visualizerというハードウェア検証・デバッグソリューションをアナウンスしました。 EDA Expressの記事:Sprin…

UVM 1.0 : examples/simple/interfaces

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった UVM 1.0では、Virtual Interfaceの使い方を示してくれます。 すべてのコードは、interface.svファイルに記述されています。 // 途中略 packa…

Panel Discussion: Applying High-Level Synthesis in an SoC Flow

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログにPanel Discussion: Applying High-Level Synthesis in an SoC Flowがアップされました。 パネラーは、 * Jeff Bier, Fo…

UVM 1.0 : UVM Run-Time Phases Primer (その2)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった uvm_componentクラスは、次の3つのvirtual関数があります。 phase_started phase_ready_to_end phase_ended これら3つのvirtual関数には、uv…

UVM 1.0 : UVM Run-Time Phases Primer (その1)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった UVM WorldにUVM Run-Time Phases Primerがアップされています。 これは、UVM 1.0で導入されたPhaseの中で、 runフェーズ内で実行される各フ…

UVM 1.0 : examples/simple/sequence/basic_read_write_sequence (その5)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ドライバ、シーケンサ、そしてシーケンスをuvm_envクラスを継承するenvクラスの中で組み立てます。 各インスタンスは、new関数で生成してい…

EVE 0-Bug Conference 2011 Summer

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 6月24日(金)に新横浜の例のホテルで、 EVE 0-Bug Conference 2011 Summerが開催されます。 EVEのZEMI-3の機能を利用したユーザー事例発表が…

UVM 1.0 : examples/simple/sequence/basic_read_write_sequence (その4)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった シーケンサは、uvm_sequenceクラスを継承します。 テンプレートのREQとRSPに指定するクラスは、ドライバと同じモノにします。 そして、new関…

UVM 1.0 : examples/simple/sequence/basic_read_write_sequence (その3)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ドライバは、シーケンサからのトランザクションを受け取り、 その結果をシーケンサに戻します。 ドライバは、uvm_driverクラスを継承して、n…

UVM 1.0 : examples/simple/sequence/basic_read_write_sequence (その2)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今回は、user_pkgパッケージ部のデータ定義の部分をみていきます。 基本となるデータ(bus_trans)をuvm_sequence_itemクラスを継承して定義し…

UVM 1.0 : examples/simple/sequence/basic_read_write_sequence (その1)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった この例題は、あくまでもシーケンスってこんな感じよ!というコードのようなのでDUTがありません。 コードは、top.svにまとめられています。 …

UVM 1.0 : Configuration

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった OVM 2.1.1/UVM 1.0 EAでは、Configurationは、get_config_xxx/set_config_xxx関数を使っていましたが、 UVM 1.0では、次のように変更されま…

ModelSim Altera Edition v11は、6.6d

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Alteraの開発環境 Quartus II v11がリリースされました(2010.05.09)。 ModelSim Altera Editionも Windows 用 ModelSim-Altera Starter Edit…

UVM 1.0 : examples/simple/tlm2/temporal_decoupling (その5)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった apb_rw.svファイル内のapb_rwクラスで、次のようになっています。 シーケンサに対するデータではないので、uvm_sequence_itemではなく、uvm_…

UVM 1.0 : examples/simple/tlm2/temporal_decoupling (その4)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった target.svファイル内のtargetクラスで、次のようになっています。 examples/simple/tlm2/basic_blockingのtarget.svに対して、package/endpa…

これからのセミナー予定

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった これから半年間の検証関連のセミナー予定です(参加する予定のモノ)。 Questaが可能にする機能検証改革セミナー : 2011/ 6/ 3(金) at 品川メ…

UVM 1.0 : examples/simple/tlm2/temporal_decoupling (その3)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった initiator.svファイル内のinitiatorクラスで、次のようになっています。 examples/simple/tlm2/basic_blockingのinitiator.svに対して、pack…

CadenceのVPとFPGAプロタイピング

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 日本はGWでお休みですが、アメリカではESCで盛り上がっています。 そのESCにて、ケイデンスがやっと、Virtual Platform関連を発表しました。…

UVM 1.0 : examples/simple/tlm2/temporal_decoupling (その2)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった トップ階層は、tb_env.svファイル内のtb_envクラスで、 examples/simple/tlm2/blocking_simpleと全く同じです。 class tb_env extends uvm_c…

UVM 1.0 : examples/simple/tlm2/temporal_decoupling (その1)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今回から5回にわたって、examples/simple/temporal_decouplingの以下のファイルをみていきます。 この例題は、UVM 1.0に導入されたTLM 2.0の…

「Questaが可能にする機能検証改革」セミナー

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 「Questaが可能にする機能検証改革」セミナーが6/1(水):大阪、6/3(金):東京に行われます。 セミナー内容を以下に引用します。 13:00 - 14:…