Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2011-07-01から1ヶ月間の記事一覧

HES-EDU

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった HES-EDUでは、大学にて、Riviera-PRO、HES5(FPGA board) そして、DVM(Design Verification Manager software)が利用できるようです。 サポー…

Aldec NewsLetter Q3, 2011

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Aldecが毎Qに発行するNewsLetter。 今回の注目点は、 UVM Transaction-Level Visual Debugging です。 関連資料は、UVM Transaction Debuggi…

Verify2011申し込み始まりました。

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verify 2011に申し込みしたら2番でした。 (´-`).。oO(誰が1番?) どうやら、8月2日(火)が正式申し込みのようです。 現在テスト中で、たま…

AldecとEmulator

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった エミュレーションのページを見ると、Aldecのエミュレーション機能がわかります。 エミュレーションでは、HDLシミュレータは使いません。 エ…

AldecとSCE-MI

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ALDECとImperasでAldecのHESとImperasをSCE-MI APIで接続したということをお伝えしましたが、 AldecのSCE-MI対応は2008年4月23日の アルデッ…

AldecのCo-Verification

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ARM/NIOS II Co-Verificationでは、AldecのHES環境でARMが使えるという。 まだ、XlinixのVirtex7は出ていないので、どうなっているのでしょ…

SkyEyeとデバイスマネージャー

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった オープンソース組込みシステム向けシミュレータの マルチプロセッサ拡張なるものがあります。 この論文の著者には、SystemC Japan 2011の基…

AldecのHESとImperasのデモ

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemC Japan 2011の懇親会会場にて、 AldecのHESとImperasのデモを見ました。 ImperasのCベースのシミュレーション環境に、 AldecのHESに…

ALDECとImperas

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemC Japan 2011にて席に置いてあったチラシの中に、 トランザクションレベルでのバーチャルプラットフォーム環境なるものを発見。 どう…

ISimのCo-Simulation : HardIP編

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ISimのCo-Simulationでは、FPGA部分だけでなく、HardIP部分との協調シミュレーションができます。 その例題として、 ISim ハードウェア協調…

#scj2011 : 仮想ハードウェアを用いたマルチコア ASIPプラットフォーム開発

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった #scj2011 : 仮想ハードウェアを用いたマルチコア ASIPプラットフォーム開発 株式会社リコー、K氏こと、木村 貞弘氏 リコーのSystemC Japanで…

#scj2011 : オブジェクト指向と動作合成ライブラリ

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった #scj2011 : オブジェクト指向と動作合成ライブラリ 三洋半導体株式会社 長尾文昭氏 長尾氏は2001年からSystemC設計事例を発表し続けています…

#scj2011 : 制御マイコン向けの仮想ソフトウェア開発環境事例

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった #scj2011 : 制御マイコン向けの仮想ソフトウェア開発環境事例 富士通セミコンダクター株式会社、中林 誠治氏 外部デバイスとの接続ができる…

#scj2011 : システムレベル設計の動向とSystemCの役割

基調講演は、立命館大学 冨山宏之教授の講演です(写真とは別人っぽいです。今回の発表では) 2003年4月から2010年3月まで名古屋大学。その間、TOPPERSで有名な高田教授と共同研究していたようです。そして、SystemBuilderの開発者である本田晋也准教授もご一…

SystemC Japan 2011は、いよいよ明日。

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemC Japan 2011は、いよいよ明日(7/15:金)ですね! 定員になり、申し込みを締め切りましたしね! 明日は、休暇を取って、ブロガーとし…

DVCon 2011:Automated approach to Register Design and Verification of complex SOC

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Automated approach to Register Design and Verification of complex SOCでは、SystemRDL => RALF => RTL のフローによるレジスタ部の検証…

DVCon 2011:High-Level Synthesis Walks the Talk

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった DVCon 2011の High-Level Synthesis Walks the Talk: Synthesizing a Complete Graphics Processing Application では、MentorのThomas Boll…

ISimのCo-Simulation Tutorial

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ISimのCo-Simulationについては、 ISim ユーザーガイド UG660(v13.2)の 第11章「ISim ハードウェア協調シミュレーションチュートリアル」に…

XilinxのAXI BFM

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Xilinxの内部バスがPLBからAXIになるわけだが、AXIのBFMはどうなるのか、Googleさんに聞いてみました。 出てきました。AXI Bus Functional M…

OVM WorldがVerification Academyに統合

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった OVM Worldが閉鎖され、Verification AcademyのUVM / OVM Verification Methodologyに統合されました。 OVM Forumやダウンロードファイル等も…

PetaLinuxとISim

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった PetaLogixが提供しているPetaLinux CoSimは、何と、XilinxのデバイスとCoSimができます。 まだ、ベータですが。 そして、なんと 引用 ISIM i…

ISimでHardwareとCo-Simulation

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった とあるきっかけで、ISimがH/WとのCoSimができることを知りました。 その一例がこれ、 ISim Hardware Co-Simulation Tutorial: Interacting w…

DVCon2011:Off To The Races With Your Accelerated SystemVerilog Testbench

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Off To The Races With Your Accelerated SystemVerilog Testbench この論文は、MentorのTransaction-Based Verification実例。 著者の一人…

そろそろ来るか?JEDAの時代

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemCがモデリング、HLS、そして、Virtual Platformの道具として使われてきた今。 SystemCベースの開発をアシストしてくれるツールとは? …

DVCon2011 : An experience to finish code refinement earlier at behavioral level

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった An experience to finish code refinement earlier at behavioral levelでは、 C/C++/SystemCでのCode Coverageを推奨しています。 HSL後のR…

UVM 1.0 : examples/integrated/codec (その3)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった UVM 1.0 : examples/integrated/codec (その2)の続き。 Makefile.questaを見てみましょう! hw_reset hw_reset_test: comp $(VSIM) +UVM_TE…

今月(6月)の映画鑑賞

映画好きの戯言 4本しか見ていない。。。。。。。。残念。 エクリプス/トワイライト・サーガ/THE TWILIGHT SAGA: ECLIPSE(2010)(DVD) キャリー/CARRIE(1976)(DVD) ベオウルフ/呪われし勇者/BEOWULF(2007)(GyaO) アベンジャーズ/THE AVENGERS(1998)(GyaO) […

Aldec Riviera-PRO 2011.06リリース

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AldecのRiviera-PRO 2011.06がリリースされました。 今回のリリースでは、OVM 2.1.2およびUVM 1.0p1がサポートされました。 また、以下のよ…