Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2011-08-01から1ヶ月間の記事一覧

What Does SystemC Mean for Design and Verification?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった What Does SystemC Mean for Design and Verification?では、 GateからRTLに移行したように、RTLからSystemCに移行について。 RTL => Gate …

Impulse C本、出版

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった C言語による実践的FPGAプログラミングが出版されました。 この本は、2005年に出版された Practical FPGA Programming in Cの翻訳です。 内容…

Boot Campだけでは、できませーん。

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Can Your Verification Survive “Boot Camp”?では、 フォーマル検証とアサーションベース検証のブートキャンプのお話。 受けたことは無いの…

今月(8月)の映画鑑賞

映画好きの戯言 ちょっと早いですが、8月の映画鑑賞! 今月は夏休みがありましたので、11本観ることができました。 ノートPCにBDが付いているので、BDがあるときは嬉しいですね! まー、映画鑑賞のために、DVDをBDに変更したのですからね! パルプ・フィクシ…

CataplutがCalyptoに

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日、DeepChipに流れた、 Mentor rumored to have traded its Catapult C division for Calyptoは、 本当の話だったようです。 メンターが…

Aldec Webinar

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AldecのWebinar : SystemVerilog: Who? What? When? Where?が今日(8/25)に行われます。 日本時間では、明日(8/26)の夜中だと思います。 頑張…

AldecのActive-HDLは、SystemVerilogをサポートしている

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AldecのRivera-PROはSystemVerilogをサポートしているが、Active-HDLはどうだろうか? Active-HDL 8.3 Software ManualのSupported SystemVe…

Synopsysの売上は、過去最大のようです。

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 前年度比では増収になっていますが、ピークの9億2000万ドルにはまだまだ。 2011/11-1 2011/8-10 2011/5-7 2011/2-4 Synopsys 3億8680万 3億9…

Latticeは、AldecのActive-HDL

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Xilinxは独自のISim、Altera/ActelはModelSim OEM版でしたが、 LatticeはAldecのActive HDL OEM版です。 Lattice Diamondシリーズ(有償/無償…

ウェブセミナー、たくさん

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ:Free Webinars Explore Advanced Functional Verification Techniquesによると、 8/23~12/15にウェブセミナーがたくさ…

エース(ACE)をねらえ

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった EETimesの記事:Get control of ARM system cache coherency with ACE verificationは、 ARMのマルチCPU対応のキャッシュコヒーレンシをサポ…

IPはRTLだけでない!

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ:IP Cannot be an Efficient Abstraction Level Without SystemC!では、 IPはRTLだけでなく、SystemC IPに!それも、Sys…

RALFにユーザコードが書けるんだって!

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった VMM Centralのブログ、The'user' in RALF : get ralgen to generate 'your' codeによると、 RALFにはユーザコードが書けるようです。 引用 u…

無料のUVM Register生成ツール?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ:DVClub: New User Verification Presentations, Upcoming Free Lunchesには、 DVClubでの講演資料が3つアップされまし…

IDesignSpec(IDS)を使えば、簡単!

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった VMM Centralのブログ、 Automatic generation of Register Model for VMM using IDesignSpecによると、 Agnisys Technology]のIDesignSpec(I…

マイコン開発用のHW/SW協調検証環境の構築事例

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった JSNUG2011でのHAPSを用いた高速HW/SW協調検証環境の構築事例。 マイコン開発用の環境として、専用のFPGAボード開発からHAPSに移行。 汎用FPG…

VirtualTurbo-II

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった VirtualTurbo-IIは、日立情報通信エンジニアリング(株)が提供しているエミュレーション環境。 LogicBenchをFPGAボードとしたもので、 PCとは…

S2CのSCE-MI

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった S2CのSCE-MIは、PCとFPGAボード間をUSBで接続しています。 これもいいアイデアですね! でも、FPGAボード側にUSBデバイスを処理するためのCP…

3度目の登場

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった JSNUG2011では、ベリフォア、Titterアカウントから今回で3回目の発表があります。 機能検証効率化のキーテクノロジー ~SystemVerilogベース…

シミュレータでマルチコアを利用する

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった PCがマルチコアであるのが当たり前になり、 Core i7の最上級品では6コア(12T)を利用できる時代なのに、どうしてシミュレータが対応していな…

FPGAボードでエミュレーションシステムを作る

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Bluespec社のEmulation Infrastructureの2番目の図を見て思ったこと。 PCI Express I/FのFPGAボードがあれば、エミュレーションボードできる…

emVMとTCP

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった H/W(FPGAボード)との接続は、SCE-MIとなっているのが一般的ですが、 emVMでは、ハードウェア側との接続をTCPを使って行うことができます。 T…

JSNUG2011、申し込み開始

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2011年9月7日(水)に行われるJSNUG2011(SYNOPSYS USERS MEETING 2011の申し込みが始まりました。 場所は、昔行われていた新宿の京王プラザホ…

Tutorial emVM

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった emVMは実際にはどんなものなのでしょうか? Bluespec Tutorial Implementing a Software-Hardware Co-Execution with emVMでは、 emVMを使っ…

GateRocket業務終了

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそすべては、SystemC v0.9公開から始まった GateRocketが2011.07.15をもって業務を終了したようです。 FPGA design tool vendor GateRocket has ceased operations. EETimes : FPGA tool …

Verify2011の正式申込開始

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 本日8/2(火曜)の正午からVerify 2011の正式申込が開始されました。 今回は当事者なので、リアルタイムツイートはできません。 検証、Verific…

emVM

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった バーチャルエミュレータ(emvM)では、Bluespecが提供しているエミュレーション環境です。 汎用FPGAボードを使用してエミュレーションを実現す…

今月(7月)の映画鑑賞

映画好きの戯言 まあまあのDVD5本。 恋愛適齢期/SOMETHING'S GOTTA GIVE(2003)(GyaO) ソウ ザ・ファイナル 3D/SAW 3D(2010)(DVD) アデル/ファラオと復活の秘薬/LES AVENTURES EXTRAORDINAIRES D'ADELE BLANC-SEC(2010)(DVD) シャーロック・ホームズ/SHERL…

Bluespec SystemVerilogとSCE-MI

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MIT Professor uses ESL tools and FPGAs to teach system architectureでは、MITでのBSV(Bulespec SystemVerilog)を使用した講座に関するも…