Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2013-02-01から1ヶ月間の記事一覧

iHDL

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった とあるツイッターからiHDLというキーワードを知りました。 どうやら、Intelの内部HDL(internal HDL)のこと。 Google君に聞いてみたら、 出てきました論…

interface class

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SytemVerilog-2012の規格書にもありますが、これの8.26 Interface classesによると、 引用 An interface class shall only contain pure virtual method…

アルテラ SoC FPGA イントロダクション・セミナー(無料)

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった アルティマ主催のアルテラ SoC FPGA イントロダクション・セミナー(無料)が開催されます。 - 03月22日(金) 新宿会場 (エルセナ本社) - 03月29日(金) …

機械との競争

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった グローバル化により職が無くなってしまうそんなのあり得ないと言われていた時期。 トーマス・フリードマンのフラット化する世界を読んだのは2006年、今…

Multiple Inheritance

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨年(2012)のDVConのプレゼンテーション資料の8ページにある SystemVerilog-2012の新機能(Multiple Inheritance)をModelSim Altera Editon 10.1bで確認…

1800-2012なう

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 1800-2012 - IEEE Standard for SystemVerilog--Unified Hardware Design, Specification, and Verification Languageとして、リリースされました。 131…

DVCon 2013

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった DVCon 2013が2月25日(月)-28日(木)に、San Joseで開催されます。 検証関連は今やDACよりも充実しているDVCon。 Technical Programも連日開催され、チュ…

プロトタイプボードがまた登場

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった DeepChipのSubject: News & Rumors on Tela, HTC, SEC, Atrenta, Magma, Dini, Sonics, MENTにもあった フランスのReflex CESという会社。 EDA Express…

Ready for SystemVerilog 2012

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった メンターのDaveさんの久しぶりのブログ、 Get Ready for SystemVerilog 2012では、 SystemVerilogの最新の規格(2012)で追加された機能の幾つかを紹介し…

ARMがMentorを抜く日

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった まずは、メンターの売り上げとARMの売り上げを見てください。 直近の1年では、 Mentor 320.3 247.9 240.8 268.7 ARM 209.4 213.0 229.3 262.8 ですね。…

FPGAマガジン、4月25日創刊

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった FPGAマガジンが4月25日創刊です。 引用 ● 編集部が想定する読者像 IPコア活用/高位合成などステップ・アップを目指す入門者 FPGAに興味はあるが,いまひ…

Epiphany-IV 64 Coreが動いている

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Epiphany-IV 64 Coreの28nm品のボードが出来上がり、動いたようです。 Parallella Platform Now Available with 64 Cores ZedBoardに拡張ボードを接続す…

systemc-verification.org

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Twitterで知ったsystemc-verification.org SystemVerilogにあって、SystemCに無い機能、制約付きランダム生成のライブラリを提供しています。 CRAVE - C…

AldecでXilinxのAXI BFMを使う

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AldecのSimulating AXI BFM Examples Available in Xilinx CORE Generatorでは、 XilinxのAXI BFMを使ったシミュレーションに関するApplication Noteで…

Altera OpenCL関連のエンジニア求人

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AlteraがOpenCLのエンジニアを募集しています。2人も。こことここ 求人ページはなくなってしまうと思うので、前半を引用します。 As an OpenCL Optimiza…

Intel XEON Phiで利用できる言語

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Intel’s answer to AMD and NVIDIA: the XEON Phi 5110Pには、 Intel XEON Phiで利用できるプログラミング言語が紹介されています。 opencl array build…

Javaでハードウェアを実装する

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 日経のTech-On!のASP-DAC 2013 MPU、GPU、FPGAをまとめてプログラムできる環境、IBM研究所がJava拡張言語ベースに開発中がちょっと前TwitterのTLで話題…

MALI OPENCL SDKリリース

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MALI OPENCL SDK v1.1がリリースされました。 WindowsとLinux版があるようです。 対応するMALIはT6xxシリーズなので対応するデバイスはまだ少ないでしょ…

SystemVerilog合成本

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 先週の金曜日、休暇を取って品川で開催されたTEDセミナの後、 知り合いと食事をしていた時に出た話題。 SystemVerilogの日本語としては、 SystemVerilog…

ARMでもOpenCL

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ARMがMali-T600シリーズでOpenCLをサポートするようです。 AMD、NVIDIA、Intelだけでなく、ARMもサポート。 ARM CPU版のOpenCLはオープンソースやPGI Co…

今月(1月)の映画鑑賞

今月(1月)の映画鑑賞 映画好きの戯言 今月は23本でした。平日、iPadで見る映画が多いからです。 その中で、スマイルコレクターはなかなかの出来。 そして、幸せの絆/暖春は、泣いちゃいました。電車で見ていて。。。 カイジ2~人生奪回ゲーム~(2011) ホテ…

Cortex-A9って、

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった メンター・グラフィックスが ARM Cortex-A9 MPCoreベースSoC設計を検証するハードウェア・エミュレーション向けiSolveソリューションを発表しました。 …