Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2013-09-01から1ヶ月間の記事一覧

金融系アプリケーションとAltera OpenCL

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった TwitterのTLで拾ったプレゼンテーション資料。 Moving computation to the data HFTに、Alteraのソリューションがどう利用できるかを紹介しています。 S…

今日はVerify2013ですね!

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は、Verify2013ですね!いつものように休暇にて参戦です。でも、勤務先より遠いです。(≧∇≦) 早いもので、皆さんの前でお話してから2年が経ちます…

Kindle Fire HDX

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Amazonが新しいKindleをリリースしました。 米Amazon、Snapdragon 800採用の「Kindle Fire HDX」 搭載されているSoCは、QualcommのSnapdragon 800。2.2G…

VIVANTE Vega GPGPU

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Vivante Intros Vega GPUs to Maximize OpenGL ES 3.0 Performance in Mass Market Android and Chrome Devices with Screens of Any Sizeによると、 Vi…

新旧NEXUS7をゲット

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 知人が新NEXUS7 LTE版を購入したので、今まで使っていた新NEXUS7 Wifi版を譲り受けました。 旧NEXUS7 Wifi版も一緒に。 NEXUS7は、旧版はNVIDIAのTegra3…

SystemVerilog 3.1から10年

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった メンターのブログ、A Decade of SystemVerilog: Unifying Design and Verification?では、 デイブさんがSystemVerilog 3.1がリリースされて10年になると…

AMDのARMコア搭載SoC

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった PC Watchのあの後藤さんの記事、 AMDがARMベースの「Hierofalcon」と新APU「Bald Eagle」など組み込みロードマップ発表によると、 AMDはCortex-A57コア…

UVMのSequeceの使い方

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった めんたーのデニスさんのツイートで知ったDesign & Reuseの記事、 Easier UVM Sequences - SystemVerilog UVM Sequence and Task Equivalenceでは、 UVM…

Synflowのお値段

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Synflowについては、このブログ、2回(これとこれ)でも紹介しています! でも、お値段、分かりませんでした。 しかし、EEtTimesの記事、 EDA Startup Off…

MediaTekのMT8135

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Imagination welcomes MediaTek’s innovation in true Heterogeneous Multi-Processing with new SoC featuring PowerVR Series6 GPUによると、 MediaTe…

Tegra4のスマホ

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 出てきましたTegra4のスマホ。 Super Fast Tegra 4 Now Part of Xiaomi’s Fast-Selling Super Phone 国内ではTegra3のスマホ(富士通のARROWシリーズ)人…

オンライン検証修行者、募集します

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Twitterで、とあるきっかけから、 Writing Testbenches with SVを読めば、SystemVerilogでの検証コードはだいたいカバーできます。VMM、OVM、UVMもこの…

XilinxもOpenCL?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった TwitterのTLで知ったAll Programmable Abstractions よーく内容をチェックしたら、ハードウェアではなく、ソフトウェアとしてOpenCLをサポートすると。 …

clangでOpenMPをサポート

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった TwittwrのTLから拾ったもの。clangでOpenMPをサポートする! An implementation of the OpenMP C/C++ language extensions in Clang/LLVM compiler Init…

Nsight Visual Studio Edition 3.1

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Nsight Visual Studio Edition 3.1 New Featuresにあるように、 v3.1では、Windows 8とVisual Studio 2012に対応。 そして、Visual Studio 2012 Express…

Synflowのブログ

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった HLSのスタートアップ、Synflowがブログを始めました。 現時点までに、次の4エントリをアップしています。 ブログを書いているのはCTOのMatthieu Wipliez…

Power8

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった PC Watchの後藤さんの記事、 IBMが技術の集大成のモンスターCPU「Power8」を発表を読んでビックリ。 12コアで8スレッド、72論理コアが1チップに。 L2は5…

Intel Compiler v13.0 for Android

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Intel ships high-powered C++ compiler for native Android appsによると、IntelはAndroid専用のIntel Compiler v13.0 for Androidをリリースしたよう…

Bluespecで110コアプロセッサを!

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Bluespec Blogに久しぶりにアップされたもの、 Hot Chips 2013 & BSV High-Level Synthesis: 110 Core Processor Chip 110コアのプロセッサをBluespecで…

Grape9

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった TwitterのTLでGRAPEの中の人が起こした会社の話が流れたのでチェックしたら、 Grape9がリリースされていました。 AlteraのCyclone IV GXに実装されてい…

CyberWorkBenchのレポート機能?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった The Magic of CyberWorkBench、 AldecサイトのブログコーナーだからAldecのスタッフが書いていると思ったら、違った。 内容はAldecではなく、CyberWorkB…