Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2014-08-01から1ヶ月間の記事一覧

今月(8月)の映画鑑賞

今月(8月)の映画鑑賞 映画好きの戯言 今月は週休4日だったので、11本。 マグノリアの蛙が降ってくるのは、凄いねー。 ゼロ・ダーク・サーティ/ZERO DARK THIRTY(2012)(BD) ジュリエットからの手紙/LETTERS TO JULIET(2010)(ULLA) ボーダー/RIGHTEOUS KILL(20…

Intel Compiler v15.0

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Intel CompilerがVersion 15.0になりました。 これに伴い、 Intel C++ StudioがIntel Parallel XE Professional Edition for C++に、 Intel C++ Compose…

TI c66x DSPのOpenCL Runtime

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった TIののOpenCL Runtimeが0.11.0にアップデートしたようです。 ホストはARM Cortex-A15で、デバイスはC66x DSPコアです。OpenCL 1.1対応。 ここには、ドキ…

CUDA 6.5リリース

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 先週、CUDA 6.5がリリースされましたね。 リリースノートによると、 ・ARM64対応 ・FORTRAN関係の改善 ・Microsoft Visual Studio 2013(VC12)対応 ・CUD…

SPIR 2.0

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった KhronosからSPIR 2.0 Provisional Specificationが公開されました。OpenCL 2.0対応のSPIRです。 OpenCL SPIR 2.0にいろいろ書いてあります。 SPIR 1.2の…

SystemVerilogハッカソンを開催します

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Design Solution Forumの申し込みが始まっています。 基調講演後、4トラック(Design、Verification、Soft+FPGA、Solution) 特別トラック(SystemVerilog…

Boardwell-Y

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった IntelはCore Mの詳細を公開。Broadwell-Y。14nmプロセスの最初のプロセッサ。 OpenCL 1.2/2.0をサポート。 米インテル、次期CPU「Core M」と14nmプロセ…

HSA Rumtime version 1.00 Provisional

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった HSA Rumtime version 1.00 Provisionalが公開されました。 また、AMD Heterogenous System Architecture HSA - Linux kfd v0.8 release for Kaveriも公…

RISC-V

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ARMがx86に変わってCPUの世界で主流になろうとしている。 先週、RISC-Vがちょっとした話題になった。 EEtimesの記事、RISC-V: An Open Standard for SoC…

EDA Playgroundで、Aldec Riviera-PRO EDUが利用可能に

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Announcement: Riviera-PRO EDU Available on EDA Playground ただし、MentorのModelSimとQuestaSimの違いと同じく、 Riviera-PRO EDUでは、SystemVeril…

Denver

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった HOT Chips 26でNVIDIAがDenverの概要を発表しましたね! TEGRA-K1 with Dual Denver CPUs 各日本のサイトでも開設しています。 4Gamer.net : NVIDIA,「…

IntelのAndroid TabletでOpenCLを

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Intel SDK for OpenCL Applicarion 2014 - Release Note -によると、 Intel Atom?? Z34xx processor family codenamed Merrifield with PowerVR* G6400 …

Intel AVX512

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Intel Advanced Vector Extentions 2015/2016 Support in GNU compiler Collectionには、Knight LandingとSkylake XeonでAVX512をサポートするというこ…

Deep LearningのWebinar

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった NVIDIA主催のWebinar、Deep-learning Webinar Demonstrates Handwriting Recognition and Efforts to Teach Drone to Fly Down a Wooded Pathを見ました…

Cyclone V SoCでのOpenCL事例

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AlteraがCyclone V SoCでOpenCLを利用した事例を後悔しました。 FPGA Acceleration of Multifunction Printer Image Processing using OpenCL 複合機の…

C言語のテストプログラムも利用できるって?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Breker Verification SystemsのTom Andersonさんのブログは、非常にためになります。ただし、ツールが使えないのが残念です。 今回の Verification Reus…

競争優位の終焉

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 10連休の夏休み初日、所用で東京駅により、丸善でぶらぶらしているときに、気になった本、競争優位の終焉。そのときは買いませんでしたが、地元の本屋で…

ART (Android Runtime)について、調べてみました

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 10連休の夏休みも6/10が過ぎた7日目。 Twitterで鉄人出版社からAndroidの仮想マシンDalvik編が出たことを知って、どうしようかなと思い、Android 4.4(Ki…

Synthesijerという名のツール

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった JavaからHDLを生成するツールにJavaRockがあります。 このブログでも、2013年2月3日のJavaでハードウェアを実装するでも紹介しました。 そのJavaRockの…

今月(7月)の映画鑑賞

映画好きの戯言 今月は19本(ULLA:14本 + BD:5本)。 三連休と夏休みがありましたので、BDで見ました。 センター・オブ・ジ・アース/JOURNEY TO THE CENTER OF THE EARTH 3(2008)(UULA) ぼくのエリ 200歳の少女/LAT DEN RATTE KOMMA IN(2008)(UULA) ロビン…

AllwinnerがARMv8を!

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Allwinner 64bit ARMv8 Processor announcedということに。 スマホではなく、タブレット用のようです。年末には出荷すると。 ARMv8のSoCを開発するのは…