Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2015-06-01から1ヶ月間の記事一覧

ModelSim-ME

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日は、ModelSim-AEのことでしたが、今日はModelSim-ME。 え、MEってなんだ? MicroSemiに買収されたActel用のModelSimです。 Libero SoC/Libero IDEの…

ModelSim-AE 10.3dは混在シミュレーションができるって

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ななんなんと、 ModelSim-AE(Altera Editon)がVerilog HDL/VHDL/SystemVerilogの混在シミュレーションができるようになったようです。 知りませんでした…

ホットなFPGA

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった The Fourth Workshop on the Intersections of Computer Architecture and Reconfigurable Logic (CARL 2015)では、Intel、Convey/Micron、IBM、Microso…

Hauwei Kirin 935ななスマホ

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Hauwei Kirin 935搭載したタブレットHauwei P8maxは8月から発売される模様。 お値段は約6万円。高いっす。 Kirin 935では、920同様8コアだけど、big.LIT…

Heiwai Kiron 920なスマホ

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった HauweiのKirin 620、Cortex-A53@1.2GHzが8個搭載のSoC。 これによると、GPUはARM Mali-T450MP4。 そしてこのKirin 620を搭載したスマホHauwei P8liteが2…

EDAPlaygroudがDoulosに買収されていた

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった EDA Playground adds Synopsys VCS support to serve its growing user baseの最後の方に、 引用 It was developed by Victor Lyuboslavsky of Victor E…

FijiでHBM

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AMD、HBM搭載のGPUコア「Fiji」を発表 引用 発表の中心となったのは、開発コードネーム「Fiji」として知られるハイエンドのGPU。既存の製品ではグラフィ…

道具は考えて使いましょう

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 金曜日にSystemC Japan 2015に参加し、事例発表から感じたこととメモとして残しておきます。 Twitterの#scj2015 アルゴリズム開発者、ソフトウェア開発…

SystemC Japan 2015

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は、SystemC Japan 2015ですね。 メインスポンサーからSynopsysが抜け、Cadence、Intel、Magilem 、OneSpinです。 協賛は9社。 ユーザー事例は、 ・…

chainer

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった PFNがオープンソースとして公開したchainer 皆さんの反応です。 PFN発のディープラーニングフレームワークchainerで画像分類をするよ PFN、ディープラー…

IBMのEDAクラウドサービス

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった IBM Renting Its EDA Tools On-line Access Half-the-Price of Licensingによると、IBMはクラウド上で自社のEDAサービスを他社より安い価格で提供するよ…

Qualcommが64コアのサーバー用SoCを開発中

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Qualcomm has a 64-core ARM server processorによると、 Qualcomが64コアのサーバー用SoCを開発している模様。 ちょっと理解に苦しむ。 スマホ用のSoC…

Caviumは、MIPSで16コアSoC

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Cavium Announces New Low Power 16-core OCTEON?? III SoC Processors for Next Generation Enterprise, Data Center and Service Provider Infrastruc…

IntelがAlteraを買収、そして、Stratix 10発表

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった とうとうAlteraがIntelに買収されちゃいました。 AlteraがOpenCLを初めて、FPGAのHPC領域への導入が加速され、Intelもヤバイと思ったのでしょうね。 た…

MADOSMA、Windows Phone 8.1

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった マウスコンピュータがWindows Phone「MADOSMA」の先行予約を開始、初回分は既に売れ切れ。 MADOSMA スペックは、1GBメモリ/8GBストレージ、1280x720IPS…

Cortex-A72の性能は?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MediaTek Cortex-A72 quad scores 50k+ in Antutu MT6595Cortex-A17@2.2GHzx4) 51k HTC M9(Snapdragon 810) 54k MT8173(A72@2.0GHzx2+A53@1.6GHzx2) 50k…

ディープランニングフォーラム2015の資料

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 申し込んでも満員でいけなかったNVIDIAのディープランニングフォーラム2015 特に、Preferred Networksとモルフォの話を聞きたかった。 ディープラーニン…

AMD Godavari(A10-7870K)

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AMDの最新APU「Godavari」がAKBに登場ですね。 AMDの最新APU「Godavari」が登場、「A10-7870K」が発売 A10-7850KからCPUとGPUのクロックが向上している…

Recap from 1st Parallella Technical Conference in Tokyo

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Parallella Techinical Conference in Tokyoに関する公式情報。 Recap from 1st Parallella Technical Conference in Tokyoに、 ビデオとプレゼンテーシ…

NVIDIAのディープランニングフォーラム2015

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 予想を上回る熱気で開催されたNVIDIAのディープラーニングフォーラム2015 なんか盛り上がりすぎていますよね。 4月のセミナーも申し込みしようとしたら…

AlteraのSpectra-Q

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AlteraがQuartus IIの新エンジンSpectra-Qを発表しましたね。 ここに書いてある内容によると、 ・改良されたアルゴリズム、インクリメンタルな最適化、…

NVIDIA AndroidWorks

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Androidのネイティブなアプリケーションを作るときは、NVIDIAのAndroidWorksを使うと便利そう。 で、AndoirdWorksってナンだろう? どうやら、AndroidDe…

NVIDIA SHIELD

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった NVIDIA SHIELDが出ました!。 This Is How You Play TV: NVIDIA SHIELD Now Available, Ready to Redefine Your TV Experience お値段は、 SHIELD => 19…