Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2015-08-01から1ヶ月間の記事一覧

Design Solution Forum 2015の聴講申し込み、始まりました。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Design Solution Forum 2015の聴講申し込みが始まっています。 今回も前回同様、Design、Verification、Soft & FPGA、Solutionの4つのトラックです。 ま…

祝、3000エントリ

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2500エントリを達成したのは、2013年12月1日なので、1年9ヶ月かかりました。 2000 => 2500より1ヶ月遅かった。 365*2-(-1+31+30+31)=637。1週間に5回以…

3月-8月までの映画鑑賞

映画好きの戯言 3月から8月までBD/DVDでの鑑賞は、 LUCY/ルーシー(2014) Googleからのプレゼントで映画1本無料。選んだのが何故か日本語版。。。。。 キャプテン・アメリカ/ウィンター・ソルジャー(2014)(BD) MARVELがディズニーに買収され、MARVEL関連作…

GPU-STREAM

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった CPUとMemory間の性能を測るSTREAM BENCHMARK。 GPUに対するSTEAM BENCHMARKができたようです。 GPU-STREAM CUDAとOpenCLに対応。また、AndroidもNDKにて…

SkylakeのGPU

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった IDF2015でSkylakeのGPUの説明がありました。 SPCS003 ― Technology Insight: Next Generation Intel® Processor Graphics Architecture, Code Name Skyl…

QualCommがGPUを開発

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった QualCommがSnapdragon用に、GPUとISPをリリース。 GPUは「Adreno 5XX」となり、次期製品の「Snapdragon 820」「Snapdragon 620/618」に搭載されるよう。…

Samsungが独自コアを開発中

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 まだ実物が出てないのでわからないが、SamsungがCPUコア(Mongoose)を開発しているそうな。 ARM Cortex-A72やQualcommのFyroと比較しているようなので…

Marvell PXA1918/1928/1908

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MarvellがARMv8のスマホ用SoC、PXA1918をアナウンスしました。 PXA1918は、ARM Cortex-A53x4を搭載。 スマホ用に、 ・Touch(I2C) ・LCD(DSIx4) ・Rear C…

chromebook買いました

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日(8/22:土)、秋葉原に行って、chromebook買いました。 買ったのは、ASUS chromebook C300MA WHITEです。税込24399円。 春頃からお外用のノートPCを物…

第四回 Calypto Users Forum

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 第四回 Calypto Users Forumが9月18日(金)に新横浜グレイス・ホテルで開催されます。 コニカミノルタとリコーの事例発表があります。 その後、レセプシ…

GTC Japan 2015

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった いままでは7月下旬に開催されていたGTC Japan。今年は無いのかなっと思っていました。 どうやら場所を虎ノ門ヒルズフォーラムに変え、9月18日(金)に開催…

SYNOPSYS USERS MEETING 2015

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今年もSYNOPSYS USERS MEETINGの時期になりました。 場所は、昨年と同じ品川のグランドプリンスホテル新高輪 国際間パミール。 Implementation、AMS、Ve…

OpenCL on Altera SoC FPGAのビデオ

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Alteraが OpenCL on Altera SoC FPGA というビデオをYoutubeにアップしています。 全部で4本。それぞれ10分未満。でも、100 views以下です。 OpenCL on …

IntelがDocea Powerを買収していた

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 知らなかった。 IntelがDocea Powerを買収していました。 Intel acquires DOCEA Power Intel Acquires Docea Power EDA関連だと、CoFluent Design、Simi…

モンスター

映画好きの戯言 2月28日以来のエントリー。 TVでモンスター(2003)やっていたので録画してみた。 この作品で主演のシャーリーズ・セロンの映画賞を総なめ。凄いです。 1975年生まれなので、まだ、28歳でアカデミー賞を。 当時、ハリウッドの中でも美人であっ…

IntelがどのようにFPGAを接続するか?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった インテル、ISCA 2015でXeon+FPGAの詳細を公開にある「なぜFPGAアクセラレータか」の - Enhance singlethread performance with tightly coupled accele…

高位合成に使う言語と検証

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 高位合成の入力言語にはいろいろなものがあります。 ASIC/SoC開発をしている人達にとっては、SystemC/C/C++ですかね。 Cadence、Calypto、NEC(Cyber)な…

AMDの新しい内部ファブリック

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 北森瓦版、AMDの新たな内部接続技術―Coherent Fabricで紹介されたAMD uses superfast coherent fabric 、 続編にAMD's Coherent data fabric enables 10…

Helio X30

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Helio 20は、A72@2.5Gx2、A53@2Gx4、A53@1.5GHzx4でしたが、 Helio 30は、A72@2.5Gx4、A72@2Gx2、A53@1.5Gx2、A53@1GHx2。 コア数は同じで10コア。ただ…

贅沢なArduino

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Twitterで拾ったArduino Compatible Zynq Shield ・Arduino Compatible ・Xilinx Zynq SoC (XC7Z010) ・LPDDR2 Memory (64MByte) ・USB OTG ・on-board …

ソフトウェアエンジニアとして心がけてきたこと

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 私が勝手にメンターだと思っている柴田さんのプレゼン資料 ソフトウェアエンジニアとして心がけてきたこと 3頁目 C言語は1984年頃から、C++は1993年頃か…

Pascalは充分小さい?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Pascal(14nm)は、Maxwell(28nm)より充分小さい。 Nvidia Pascal GPU has 17 billion transistors の図をみて、Traditional 2-D planar transistor と 3-…

UVMがIEEE P1800.2に

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 久しぶりに、UVMのお話。 UVMのIEEEでの標準化が進んでいます。P1800.2になりました。 こちらによると、 P1800.2 - Standard for Universal Verificatio…

Tegra X1でUbuntu

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった NVidia's Tegra X1 does well on Linuxによると、SHIELD Android TVにてUbuntuが動いているようです。 Tegra X1では、Tegra K1のように開発キット(Jetso…

第7回FPGAエクストリーム・コンピューティングに行ってきました

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日(8/2)、ドワンゴのセミナールームで行われたFPGAエクストリーム・コンピューティング 第7回に行ってきました。 274人+38人のキャンセルで合計で312…