Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2016-01-01から1ヶ月間の記事一覧

Zynqセミナー

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった CQ出版のエレクトロニクス・セミナー 4月7日、8日の2日コース。お値段は36000円(税込) http://seminar.cqpub.co.jp/ccm/ES16-0007 実習・ARMコア内蔵FPG…

1月の映画鑑賞

映画好きの戯言 桜蘭高校ホスト部(2012) 近キョリ恋愛(2014) 新宿スワン(2014) 脳内ポイズンベリー(2015) トランセンデンス(2014)/TRANSCENDENCE ANNIE/アニー(2014)/ANNIE

FPGA Progamming

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 先週の水曜日のブログ(この日の訪問者は、204人で多かったです) LinuxでFPGAをサポート?に関連したもの Twitterで拾いました。 Alteraは、 Reprogramma…

XILINXのDNN

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった XILINXのFPGAを使って、DNN(Deep Neural Network (DNN)) FPGA improves Performance/W of DNN algorithm by 16x, but what’s that bottle of Scotch doi…

PyVideoCore

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日のPiZero cluster boardを開発しているIdein Inc.の社長さんのTwitterを見ていたら、 PyVideoCoreなるものを見つけました。 Raspberry Piに搭載され…

PiZero cluster board

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Twitterで拾ったWe've almost finished creating PiZero cluster board. But I wonder when we can buy remaining 15 PiZeros. #PiZero 昨日(1/25)に、…

Xeon-FPGA Solution Architect

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Xeon-FPGAのダイの写真がTwitterに流れていましたが、 IntelがXeon-FPGA Solution Architectを募集しているようです。 それも、台北と東京で。。。 記録…

ANNIE

映画好きの戯言 ANNIE(2014)をBDで見ました。 アニー(1982)はTVで見たことあります。 大金持ちが孤児をxxxというのは同じだが、2014の金持ちは携帯電話のオーナー。何故か携帯電話。 オーナー役は、ジェイミー・フォックス。いい味出しているよね。 2014は孤…

デンソーのSTARCでの講演資料

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ここにも書いてあるようにそろそろ解散するSTARCのセミナーで デンソーの佐藤さん(@ikuro_s)が講演した資料が公開されました。 https://tech.d-itlab.co…

Qualcomm Snapdragon 820

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった QualCommがSnapdragon 820を正式に発表しましたね。 Qualcomm Snapdragon 820 Speed & Features 810に対して、820は CPU:Cortex A53/A57 => カスタムの…

LinuxでFPGAをサポート?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった TwitterのTLで、Linux Kernel 4.4.0 からFPGAのサポートが来ている…!!を見て、 Linux Referenceの4.4のdrivers/fpgaを覗いたら、あったよ。 ・fpga-mg…

MediaTekのSoC

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MediaTekのSoC戦略が見えるCMOインタビュー 中華スマホの低価格帯の多くはMediaTekのSoCが載っています。] 日本語のウィキペディアにはほとんどSoCが載…

PEZYが募集しています。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Twitterでの牧野先生のツイートで知りました。 PEZYの募集中の職種 たぶんなくなるので、記録として、引用します。 1)ハードウェアエンジニア 自社製…

やっていることは、PDCA だしね。

記録として、Twitterのツイートを貼っておきます。 https://s.yimg.jp/images/blog/html/twitter/twitter_enbed.html?data-url=https://twitter.com/Vengineer/status/688600394604228608&data-text=篁????ゅ?????с????篁?篋??????????????c???∝?純????筝??…

Zynq MPSoCはメンターのエミュレータで検証された?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった XilinxのGitHubをいろいろと眺めていたら、見つけた。 どうやら、Zynq MPSoCはメンターのエミュレータ、Veloceで検証されたようだ。 ARM Trusted Firmwa…

Zynq MPSoCのLinux関連

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日のXilinxのGitHubの続き。 Zynq MPSoCは、ARM Cortex-A53x4の他に、GPUとしてARM Mali-T400MP2@400MHzが載っています。 このマニュアルの69頁に、M…

Chainer、CuPy、ヤバイね。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった NVIDIA Deep Learning Day 2016の岡野原さんのスライド見終わったら、 More Modern GPUが出てきて、その中(5頁)に きっとChainer Meetup で詳しいお話が…

NVIDIA Deep Learning Day 2016

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 備忘録として残しておきます。 昨日のNVIDIA Deep Learning Day 2016のTwitterのまとめ。 Accelerating AI with GPUs: A New Computing Model エヌビデ…

XilinxのGitHub

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日の夜に見つけたXilinxのGitHub。 ・QEMU ・ARM Trusted Firmware ・U-Boot ・Xen ・Linux ・Device Tree ・Yocto/OE-core があるんですね。 Zynq MP…

PowerVR Series7XT Plus

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Imagination Technologies社が新しいGPUSeries7XT Plus」を発表しましたね。 この「PowerVR Series7XT Plus」、ALUコアが64個あるいは128個も搭載するん…

ARMv8 Quad-core Router Processor

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Broadcom Announces Industry's First 64Bit Quad-core Router Processor BCM4908は1.8GHzの64Bit quad-core ARM CPUで搭載で、 5Gbpsの処理能力を持つB…

ZynqBerry Dev Board

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった €99 ZynqBerry Dev Board from Trenz puts Zynq-7010 SoC into Raspberry Pi form factor. Available tomorrow 99ドルではなく、99ユーロなんですね。 T…

NVIDIA DRIVE PX2

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった NVIDIAの自動車業界用開発ツールは、Automotive: DRIVE CX and DRIVE PXでしたが、先週のCES 2016でDRIVE PX 2の発表がありました。 NVIDIA DRIVE PX 2,…

ATOMエディタをインストールしました

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日は、ChromebookのUbuntuにATOMエディタをインストールして、メニュを日本語にして終わりましたが、今日は確認したいことが出来ました。 このATOMエ…

chromebookのUbuntuでUnityが立ち上がらず。。。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ChromebookにUbubtu 14.04LTSを入れて端末ベースでいろいろやっていたのですが、今日久しぶりにUnityを立ち上げようとしたが、立ち上がらず。 % sudo st…

Advanced UVM

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Advanced UVMという本が出ましたね。 ペーパーバックだとアマゾンで8851円(もう少し安いところもあります)で、Kindleだと4924円。 200頁ぐらい。 Janick…

スマホで6GBメモリ搭載か?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Huaweiの新しいスマホ P9 どうやら、メモリがたんまりと。 Huawei P9 To Feature A Whopping 6GB of DDR4 RAM? ・P9 Lite (5.0 inch screen size with 4…

free electrons

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった free electronsという会社を知っていますか? では、Linux Referenceというサイトを知っていますか? 上のLinux Referenceをクリックしてみてください。…

流行のFPGAと高位合成コンパイラで自分だけのハードウェアを作ってみよう

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 奈良先端科学技術大学院大学 情報科学研究科が2016年2月25日、26日にスプリングセミナーを行うようです。NAISTの受験を真剣に考えている大学生以上 (高…

Vidado HLS勉強会資料

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2016.01.09追記)、No.5を追加し、初心者編は完結のようです。 @masee101 さん(小野さん)のVivado HLS勉強会の資料(1から5)がSlideShareにアップされてい…