Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2016-05-01から1ヶ月間の記事一覧

Altera SDK for OpenCL勉強会、申し込みは明後日(6/2)まで

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Altera SDK for OpenCL勉強会を6/10(金)に東工大にて開催します。 発表は、現時点では4件です。 Altera SDK for OpenCLは、こちらからダウンロードでき…

Jetson-TX1のユーザランド

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Jetson-TX1のSoCは、Tegra-X1で、ARM Cortex-A57x4が載っているので、 LinuxはARM64で64ビットなんだよね。 でも、Linux for Tegra R24.1で ・Support f…

IntelがItseezを買収

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ちょっとびっくり。 Itseezって会社、あまり知られていないけど、OpenCVの中の人達 OpenCVって、もともとIntelが出したオープンソース。 Intel、OpenVX…

過去の知識と最新の知識

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 大学入るまでは、みんな、勉強するけど、大学入ってからはそんなに勉強しない。 そして、お仕事始めたら、もっとしない。というか、全然しない。 エンジ…

ロボットとAI

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 機械との競争のブログを書いたのが2013年2月25日。 あれから3年、 最近、ロボットやAIに人間の仕事が奪われる【テクノ失業の恐怖】のような記事をよく見…

Boost.Compute for Android

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 備忘録として、 Boost.Compute for Android Boost.Compute IWOCL 2016の資料

CUDA 8 Release Candidate

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった GeForce GTX 1080の販売が開始されたので、CUDA 8 RCが出たみたい。 CUDA 8の特徴は、こちら なんか、結構人が並んで、売り切れちゃったみたい。 って、…

ChromebookでAndroid Appsを

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Google Play Along With All Android Apps Are Coming To Chrome OS Beta版は出ていたような気がしますが、正式に発表したようですね。 ブログ、 The Go…

Raspberry Piのオープンソースのブートローダー

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった There's Finally An Open-Source VPU-Side Bootloader For The Raspberry Pi Raspberry Piのブートローダーは、GPUが実行しています。 ソースコードが公…

ネイタス

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 「株式会社ネイタス」設立のお知らせ ネイタス概要 ポスター 多品種少量生産半導体事業に関するアンケートなんてものがあります。 どうなるのだろうか?…

SystemC Japan 2016

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemC Japan 2016が2016年6月17日(金)が開催されます。 引用 ・Accellera Systems Initiative Update (Stan Krolikoskiさん、今年も来ます) ・基調講…

8ビット精度

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった TwitterのTLでは、いろいろな情報を展開してくれる人達がいます。 Deep Learningの精度(ビット数)に関しての情報です。 How to Quantize Neural Network…

PEZYも参戦

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった GoogleがTPUを発表しましたが、PEZYも同じ日(5/18)に「第1回全脳アーキテクチャシンポジウム」にて、「Deep Insights(ディープインサイツ)」という会社…

Google、今度はASIC(TPU)で攻めてきた

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった GoogleがGoogle I/O 2016で発表した TPU (Tensor Processing Unit) Googleのブログ Google supercharges machine learning tasks with TPU custom chip …

Zynq UltraScale+ MPSoCでXenを

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Enabling Virtualization with Xen Hypervisor on Zynq UltraScale+ MPSoCs Zynq UltraScale+ MPSoC(長い)なら、 コアも4つあるので、Xenを使って、仮想…

来年(2017年)から変わる牧本ウェーブ

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 牧本ウェーブのご本人が牧本ウェーブを解説している。 牧 本 ウ エ ー ブとは 何 か? '57-'67 : Standard Discretes '67-'77 : Custom LSIs for TVs, C…

Octeon TX

@Vengineerの戯言 : [http://mobile.twitter.com/vengineer Twitter] [http://sites.google.com/site/allofsystemverilog/ SystemVerilogの世界へようこそ]、[https://sites.google.com/site/allofsystemc/ すべては、SystemC v0.9公開から始まった] 先週(5…

VisionWorks

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった GTC 2016のVisonWorksの資料。 Tegra-K1が出た時頃からVisionWorksありますって言ってて、 NVIDIAにメールしたけど、その後。。。 今は、使えるんですね…

Please Join Us in Celebrating Professor David A. Patterson's Retirement!

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった こちらもTwiiterで拾ったもの。いつもいつもありがとうございます。 40 Years of Patterson Symposium そう、偉大なるパターソン先生です。 まだ、68歳…

IEEE Microに載ったんだって、 Zynq UltraScale+ MPSoC

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった New article in IEEE Micro provides a deep technical overview of the Xilinx Zynq UltraScale+ MPSoCだって。 ここでその記事が読めます。って、全部…

Zynqでパーシャルリコンフィギュレーションの今

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった このブログでXilinx Zynqのパーシャルリコンフィギュレーションを紹介したのは、 Zynqでリコンフィギュレーションで、2013年6月14日。 パーシャルリコン…

Qiitaから「FPGA+SoC+LinuxでFPGA Managerを試してみた」

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった @ikwzmさんのFPGAシリーズ。 QiitaにFPGA関連記事がどんどん溜まっていくに引き続き、 FPGA+SoC+LinuxでFPGA Managerを試してみた(まとめ) 「FPGA+SoC+L…

MapReduceもOpenCLベースFPGAで

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった シンガポールから、Melia: A MapReduce Framework on OpenCL-based FPGAs この研究室での成果のようですね。 A Performance Analysis Framework for Opt…

ModelSim Altera Starter Edition (16.0)

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった chromebookのubuntu環境にいろいろインストールしたよでは、 ModelSim Altera Starter Edition (15.x)をインストールしました。 Quartus Prime Lite Edi…

Altera SDK for OpenCL勉強会、開催決定

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Mpression OpenCLラボに、 そうそう、Altera OpenCL勉強会というのもありかな? いかがだろうか? AlteraのOpenCL担当の方。。。あなたですよ。 って、…

Dragonboard 410cでHexagon DSP Processorが使えるよ

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Dragonboard 410cは、QualcommのSnapdragon 410を搭載しています。 ということで、Hexagon DSP Processorにあるように、410はHexagon DSP 500が載ってい…

OpenAI

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Twitterから拾ったTrain Your Reinforcement Learning Agents at the OpenAI Gym OpenAIのブログ、こちらがサイト Github AI、いろいろ出てきてわからな…

An LLVM Backend For the Raspberry Pi VPU

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Raspberry Pi/Pi2/Pi3に搭載されているGPUのLLVMバックエンドが公開されたようです。 LLVM for VideoCore4 (Raspberry Pi VPU) 2014年頃には、LLVMのバ…

Zynqのメモリ性能

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 卵のお兄さん(tanakamura)がZynqのメモリ性能をアップしてくれました。 Zynq Memory性能 これによると、memset L1/L2@4KBで2GB/s強。@256KBでも2.6GB/s…

CUDAとOpenCLどっちがいいの?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 記録として残しておきます。 @aokomoriuta(土木工学の水理学・計算力学な人)さんが、 QiitaにCUDAとOpenCLどっちがいいの?という記事を書いています。 …