Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2020-06-01から1ヶ月間の記事一覧

Nvidia Ampere な Geforce GTX

@Vengineerの戯言 : TwitterSystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった NVIDIAのAmpereは、サーバー用で超お高さそうな A100 ですが、 この記事によると、Geforce GTX 版もそろそろ出てくるようです。 www.tomshardware.com Ge…

6月の映画鑑賞

映画好きの戯言 6月:58 本、アマゾンプライム:58 本 1月:DVD:5本、アマゾンプライム:43本 2月:アマゾンプライム:35本 3月:アマゾンプライム:35本、DVD:6本 4月:42 本、アマゾンプライム:42 本 5月:58 本、アマゾンプライム:58本 5月までは、22…

80ドルのZynqボードは、RasPiサイズ

@Vengineerの戯言 : TwitterSystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった このツイート、結構、人気があった模様。 80ドルのZynq 7020搭載ボード https://t.co/LM98TwY6zj — Vengineer@アマゾンプライムで映画三昧 (@Vengineer)…

Google の RISCV-DV

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Google の RISCV-DVのソースコードを眺めてみました。 github.com あたしは、Design 眺めるより、Verification 眺める方が好きなので。。。 こちら。tes…

Intelの Intel® Advisor’s Flow Graph Analyzer

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Fantastic step-by-step article to learn how to design and analyze Intel TBB flow graphs with #IntelAdvisor's Flow Graph Analyzer. https://t.co…

Ansor: An Auto-scheduler for TVM (AutoTVM v2.0)

@Vengineerの戯言 : TwitterSystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 「Auto-scheduler for TVM」のLianmin Zhengさんから Ansor(AutoTVM v2.0)の提案 discuss.tvm.ai 現在の AutoTVM の問題点 The templates are hard to wr…

glow:TensorFlow Lite importerが追加されるし、Intel NNP-Iの開発は継続されている

@Vengineerの戯言 : TwitterSystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 理由はよくわからないのですが、Facebook の クラウド用推論のためのフレームワークである glow に TensorFlow Lite importer が追加されました。 github…

uTVM関連ビデオ

@Vengineerの戯言 : TwitterSystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった OctoML主催の Apache TVM meetup 参加者も登場しています。基本的には、OctlML のメンバーがいろいろお話しています。 youtu.be アジェンダは、 uTVM Tod…

TensorFlow Liteに、なんか、追加されている

@Vengineerの戯言 : TwitterSystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった TensorFlow Liteのexperimentalに、 「Accelerator whitelisting」 なるものがアップされていた。 github.com TensorFlow Delagateがいっぱいあるんです…

HuaweiのMindsporeが github に来た?

@Vengineerの戯言 : TwitterSystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今まで、gitee にあった、Huawei の ディープ・ラーニングフレームワークであるMindspore が github に来た? github.com サクッて見てみたら、 CPU GPU …

SYCL 2.2 に pipe が入った

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった このプレゼンテーション資料 Beyond SYCL™ 1.2 :SYCL™ 2.2Maria Rovatsou, SYCL spec editorPrincipal Software Engineer, Codeplay 2.2で追加されたの…

Alibaba の Xuantie-910 は、Arm Cortex-A73より速い

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Twitterで拾ったこの論文。 Xuantie-910: A Commercial Multi-Core 12-Stage Pipeline Out-of-Order 64-bit High Performance RISC-V Processor with Ve…

μTVMの解説記事

@Vengineerの戯言 : TwitterSystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった このブログでも以前紹介した「μTVM」 こちらは、紹介記事で medium.com こちらが全文。 tvm.apache.org μTVMは、TensorFlow Lite for Microcontrollerの…

Graphcore RackScale IPU-POD

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった www.graphcore.ai このブログ、2年前のものなんですが、DELLのサーバーに C2 IPU PCIe Boardを8枚さしたものを提供する前は、この IPU-POD が Graphcore…

SPARSE Training

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった NVIDIA A100にて、TensorCoreにてSparsityをサポートしましたよね。 devblogs.nvidia.com 学習時にPruningしてもGPUではほとんど美味しくないと思ってい…

Cerebas CS-1を2台 + 8台のHPE Superdome Flex

@Vengineerの戯言 : TwitterSystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった insidehpc.com によると、 Neocortex architectureというものは、Cerebras CS-1 AI serversを2台使うと。 The Cerebras servers will be coupled with a …

Verilogの歴史

@Vengineerの戯言 : TwitterSystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Twitterに流れてきたこのツイート The entire HOPL4 lineup is really powerful, but I personally really enjoyed the Objective-C paper. HOPL is all …

Graphcore と PaddlePaddle

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった GraphcoreがBaidu PaddlePaddle Hardware Ecosystem に参加 www.graphcore.ai TensorFlow、PyTorch (実際には、ONNX)、ONNX対応に追加して、 Graphcore…

Google Edge TPUのlibedgetpuの中とApexデバイスドライバの関係

@Vengineerの戯言 : TwitterSystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Edge TPUのデバイスドライバのソースコードは公開されていないとTweetしましたが、実は、Google Pixel4に搭載されている Neural Video Core内のEdge TPU…

Google Edge TPU の libedgetpu の header と source の対応付け

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった libedgetpu.so のヘッダファイルと今回公開されたソースコードとの関係づけします edgetpu_c.h のソースコードは、tflite/edgetpu_c.cc github.com この…

Google Edge TPUの libedgetpu.so がオープンソースに

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Google Edge TPUの libedgetpu.so がオープンソースとして github.com に公開されました。 github.com 現在のプロダクトは、「Beagle」 この Beagle に…

Graphcore C2 IPU って、PCIe Gen3 x8 なの?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 先週末、Graphcoreのことを調べて、この画像を眺めていたら、ちょっと気になった。 www.servethehome.com PCIe Edge からの配線が2つの C2 IPU に流れて…

CUDA Graph

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった CUDA 10.2 のドキュメントを眺めていた時見つけた、CUDA Graph なるもの。 devblogs.nvidia.com このブログに詳しく書いてあります。 CUDA Graphは、CUD…

CUDA 11 RCの中の L2 persisting access 用API

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった CUDA 11 RC が公開されたようです。 developer.nvidia.com 気になっている「3.2.3. Device Memory L2 Access Management」を A portion of the L2 cache…

Socionextの24コアSoC + Hailo-8 NPU 入りBox

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった linuxgizmos.com 構成は、 Socionext’s SC2A11 Arm server SoC AI acceleration card 対応モデルは、TensorFlow と ONNX SocionextのSoCに関しては、こ…

機械学習用アクセラレーター入り x86 プロセッサ

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ascii.jp この記事によると、VIAのCentaurにAIコプロセッサ内蔵のx86互換CPUがあるみたい。 CHAというのがベースのSoCで、このCHAにNcoreと呼ばれる機械…

IWOCL '20 : Snapdragon の GPU で TVM

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった も一つ、SnapgragonのGPUネタ。こちらも、Qualcommの中の人。 TVM for Adreno GPUs こちらは865ではなく、855のAdreno A640 どんだけ性能が上がったのか…

SamsungのMシリーズCPUコアの論文

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ISCA2020の Samsungのこの論文 「Evolution of the Samsung Exynos CPU Microarchitecture」 14nmのM1から最新の5nmのM6までが載っている。 M1は2.6GHz…

tinyMLのYoutubeチャンネルができた

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Youtubeに tinyML のチャンネルができたようですね。 www.youtube.com 2ヶ月前ぐらいからいろいろとビデオ公開されています。 2ヶ月前は、tinyML Summit…

Arm Ethos-U55は、Cortex-Mシリーズと一緒に使うんだって。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった The Linley Spring Processor ConferenceでのArmのこの講演ビデオ Arm: New Endpoint AI Technologies: the Arm Cortex-M55 processor and Etho... http…