Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2022-05-28から1日間の記事一覧

Xilinx xsim で、XSI(Xilinx Simulator Interface)をPythonで使う

はじめに Google 君で、Xilinx の XSI について調べたら、見つけた C/RTL Cosimulation with Vivado and Python github.com 内容 デザイン側は、VHDLなので、テストベンチ側もVHDLに対応するように、信号が9値になっています。 ここ。 const char SLV_U=0; c…