Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2022-06-18から1日間の記事一覧

Xilinx xsimを調べる(その5)

はじめに 今日は、xvlogコマンドを実行した時に生成されるファイルをみていきます。 サンプルコード サンプルコード(top.sv)は、下記のように内部に周期10ns のクロックのみです。10000 ns 実行すると、$finish(2) でシミュレーションを終了します。 module …

Xilinx xsimを調べる(その4)

はじめに 昨日に引き続き、xelab コマンドについてみていきます。 今日は、 SystemC/DPI 関連 Coverage 関連 のオプションについて、見ていきます。 xsim は、機能カバレッジ、コードカバレッジをサポートしているんですよね。 --dpi_absolute DPIライブラリ…