Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2022-06-19から1日間の記事一覧

Xilinx xsimを調べる(その6)

はじめに 昨日は、xvlog コマンドが生成するファイルをみてみましたが、今日は xelab コマンドが生成するファイルをみてみます。 xelab コマンドでエラボレーション top を xelab コマンドでエラボレーションした時のログは下記のようになりました。 xelab t…