Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2022-05-01から1ヶ月間の記事一覧

5月の映画鑑賞

5月、35本、(内、アマゾンプライムは5本)、 4月、29本、(内、アマゾンプライムは1本、Youtubeで1本) 3月、28本、(内、アマゾンプライムは3本) 2月、34本 1月、42本、(内、アマゾンプライムは6本) 1月-5月までの合計 : 168 本 : 2973 + 168 = = 3141本 桜のよ…

Ampere Computingのロードマップ

はじめに このブログでも何度も取り上げている、Ampere Computing。そのAmpere ComputingがAltra Max以降のロードマップを更新しました。 Ampere Computing のロードマップ The Nextplatform の下記の記事に詳しく書いてあります。 www.nextplatform.com 201…

Xilinx xsim の XSI の応用例としてのXilinx ACCL

はじめに とあるセミナーを聴いていた時に、XilinxのACCL(ACCL: Accelerated Collective Communication Library)。NVIDIAのNCCLのようなもの。 場所は、ここ ↓ github.com ビデオ www.youtube.com なんと、XSIを使っている! test/simulation を覗いてみたら…

Xilinx xsim で、XSI(Xilinx Simulator Interface)をPythonで使う

はじめに Google 君で、Xilinx の XSI について調べたら、見つけた C/RTL Cosimulation with Vivado and Python github.com 内容 デザイン側は、VHDLなので、テストベンチ側もVHDLに対応するように、信号が9値になっています。 ここ。 const char SLV_U=0; c…

AMD EPYC x2 + NVIDIA HGX A100 の水冷システム

はじめに AMD EPYC x2 + NVIDIA HGX A100 4-GPUs の水冷システム videocardz.com G262-ZL0 G492-ZL2 G262-ZL0 HGX A100 4-GPU をベースにしたシステム。PCIe Switch 2個で HGX A100 4-GPU で接続。各PCIe Switch に、2 x PCIe x 16 slots + NVMe x2 が繋がっ…

Qualcomm Snapdragon 7 Gen 1

はじめに QualcommのArmv9なSoCは、下記のように、Snapdragon 8 Gen1 だけでしたが、 vengineer.hatenablog.com Qualcomm Snapdragon 7 Gen 1もArmv9なSoCとしてデビューしました。 Qualcomm Snapdragon 7 Gen 1 CPU : 1x A710 @ 2.4GHz, 3x A710@2.36GHz、4…

NVIDIA の Hopper って、2023年なんだ

はじめに NVIDIA Keynot at COMPUTEXT 2022 のビデオを観ました。 www.youtube.com Grace、Hopper関連を抽出したいと思います。 下記の画像は説明のために上記のビデオから引用しています。 ロードマップ 下記はCPU、GPU、DPUのロードマップです。 (Voltaが2…

Ampere Atra Platform Hardware Design Specification

はじめに Ampere Atra Platform Hardware Design Specification なるものを見つけました。 2 socket 構成 2 socket 構成の場合は、CCIX@25Gbps x 16 lanes を2組で接続していますね PCIe Controller 上記の図から、RCB2A の PCIe x16 の SERDES を使って、 B…

Ampere Altra Max ベースのNVIDIA A100サーバー

はじめに Ampere Computing の Altera Max ベースの NVIDIA A100 サーバーの情報が出てきました。 Gigabyte's G492-PD0 server www.tomshardware.com Gigabyteのサイトは、こちら www.gigabyte.com 説明のために下図を引用します。 GPU側とは、Ampere Altra…

NVIDIAがGPUのKernel Modulesを公開したので、ソースコード解析をしてみる(その7)

はじめに NVIDIAがGPUのKernel Modulesを公開したので、ソースコード解析をしてみる、(その7) 今回は、デバイスドライバの登録時に何を行っているかを見ていきます。 nv_module_init open-gpu-kernel-modules/nv.c at main · NVIDIA/open-gpu-kernel-modules…

NVIDIAがGPUのKernel Modulesを公開したので、ソースコード解析をしてみる(その6)

はじめに NVIDIAがGPUのKernel Modulesを公開したので、ソースコード解析をしてみる、(その6) 今回は、各GPUがどのような機能を持っているかということを調べました。 ENG_XXX Engine というものが下記のところにリストアップされています。 github.com 例え…

NVIDIAがGPUのKernel Modulesを公開したので、ソースコード解析をしてみる(その5)

はじめに NVIDIAがGPUのKernel Modulesを公開したので、ソースコード解析をしてみる、(その5) 今回は、NVLink NVLINK の Version このファイルによると、NVLink の version #define NVLINK_DEVICE_VERSION_10 0x00000001 #define NVLINK_DEVICE_VERSION_20 0…

NVIDIAがGPUのKernel Modulesを公開したので、ソースコード解析をしてみる(その4)

はじめに NVIDIAがGPUのKernel Modulesを公開したので、ソースコード解析をしてみる、(その4) NVIDIA Falcon Security というドキュメントがあります。Falconは Security 、Maxwell から始まったようです。 今回は、sec2 www.microsoft.com SEC2って、何?と…

NVIDIAがGPUのKernel Modulesを公開したので、ソースコード解析をしてみる(その3)

はじめに NVIDIAがGPUのKernel Modulesを公開したので、ソースコード解析をしてみる、(その3) NVIDIAの falcon micro processor Wikipedia )によると、 Around the year 2006 Nvidia introduced FALCON (FAst Logic CONtroller) to their GPUs. At the 4th R…

NVIDIAがGPUのKernel Modulesを公開したので、ソースコード解析をしてみる(その2)

はじめに NVIDIAがGPUのKernel Modulesを公開したので、ソースコード解析をしてみる、(その2) 今回は、GSP (GPU System Processor)。GPSについては、ここにちょっと書いてあった。 download.nvidia.com www.tomshardware.com www.phoronix.com また、こんな…

NVIDIAがGPUのKernel Modulesを公開したので、ソースコード解析をしてみる(その1)

はじめに NVIDIAがGPUのKernel Modulesを公開したので、ソースコード解析をしてみる、(その1) NVIDIAがGPUのKernel Modulesのソースコードを公開しました。 developer.nvidia.com ということで、久しぶりに、ソースコード解析をしたいと思います。今回は、…

Xilinx xsim で Software Driven Verification ができるっぽい (その5)

はじめに Xilinx xsim で Software Driven Verification ができるっぽい の5回目。 下記のツイートのアンケートの結果から、5/22(日)、14:00-16:00 に雑談会をやることにしました。 Xilinxのxsim(HDLシミュレータ)でSoftware Driven Verification(C++のテス…

Xilinx xsim で Software Driven Verification ができるっぽい (その4)

はじめに Xilinx xsim で Software Driven Verification ができるっぽい の4回目。 今回は、例題を見ていきます。 Vivado (2021.2) をインストールすると、examples/xsim/verilog/xsi/counter が Xilinx Simulator Interface の例題です。 ディレクトリの中…

Xilinx xsim で Software Driven Verification ができるっぽい (その3)

はじめに Xilinx xsim で Software Driven Verification ができるっぽい の3回目。 今回は、Xilinx Simulator Interface を使って、どうやってシミュレーションをするのかをみていきます。 クロックのドライブ 下記は、loader の中で Xilinx Simulator Inter…

Xilinx xsim で Software Driven Verification ができるっぽい (その2)

はじめに 昨日のブログでは、Xilinx xsim で Software Driven Verification ができるっぽいということを書きました。 Xilinx Simulator Interface を使えば、Verilator での C++ を使ったケースと同じような感じにすればいいのでは?と思った次第です。 Xili…

Xilinx xsim で Software Driven Verification ができるっぽい (その1)

はじめに Verilator : SystemC + SystemVerilog Questa Intel FPGA 64bit Edition : SystemC + SystemVerilog にて、Software Driven Verification ができることは、下記のように紹介しました。 vengineer.hatenablog.com vengineer.hatenablog.com Xilinx …

Intel ISPC v1.18

はじめに Intel ISPC について、2020年10月に、Intel GPU をサポートするということでした。 vengineer.hatenablog.com v1.18 Intel ISPC 1.18 Compiler Brings "Significantly Improved" Xe Graphics Performance www.phoronix.com おわりに Intel ISPCで、…

Intel Accelerator UBB

はじめに ちょっと古いですが、とあるものを調べていたら、見つけました。 Intel Accelerator UBB、下記のビデオに出てきました。 youtu.be Intel Accelertor UBB 上記のスクリーンショットを説明のために引用します。 PVCは、Ponte Vecchio GPU のこと。UBB…

エッシェンシャル思考とエフォートレス思考を読みました

はじめに グレッグ・マキューン 氏の「エッシェンシャル思考」と「エフォートレス思考」を読みました。 www.amazon.co.jp www.amazon.co.jp 出版された順番ではなく、「エフォートレス思考」が先で、「エッシェンシャル思考」の順番で読み増した。 Kindle本…

AMD の xGMI とは?

はじめに AMD の EPYC の IOD (I/O Die)から出ている xGMI (Socket to Socket Global Memory Interconnect )。この xGMI を使って、2個の EPYC を接続しています。 今日のブログでは、xGMI について、調べてみました AMD Rome NASAのサイトの下記の資料にあ…

AMD Navi 21/22/23 と31/32/33って?

はじめに 今回は、AMDのコンシューマ用GPUである、Navi シリーズについて調べてみました。 既に出ている、AMD Navi 21、今年出てくるであろう Navi 31/32/33 についても調べてみました。 Navi 21/22/23 下記のツイートに、Navi 21/22/23 の die shot が載っ…

Intel版Questaで SystemVerilog + SysmteC

はじめに 下記のVerilatorの薄い本、第三弾、SystemC編の例題を、Intel版Questaで動くようにしました。 vengineer.hatenablog.com Intel版Questaでは、SystemVerilog + SystemC が動く! Verilator + SystemC で動くなら、Intel版Questaでも動くじゃんという…

VerilatorとSystemC雑談会を開催しました

はじめに 何となく、先週の土曜日に思いついたので、「VerilatorとSystemC雑談会」を昨日(5/2:火曜)に開催しました。 connpass.com VerilatorとSystemC で Software Driven Verification 最初の1時間で「VerilatorとSystemC で Software Driven Verification…

Veirlator v5 では、Dynamic Scheduling が導入される?

はじめに Verilator v5 development branch が進行中のようだ。 github.com v5 development brach Scheduler に関して、次の2つの変更をベースに進行中のようだ。v5.002 として、リリースされるようである。 timed coroutines (Dynamic scheduling #3363) im…

NVIDIA H100のCX7には、PCIe gen5 bridge が入っていた

はじめに 3月28日に、NVIDIA DGX H100について、深堀しました。 vengineer.hatenablog.com この中で、CX7 が CPU と H100 の間でどのように接続しているかは、はっきりわかりませんでした。 CX7 下記の CX7 のデータシートには、 nvdam.widen.net 32 lanes o…