Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

Questa Intel FPGA Edition、Windows版だけど、WSL2からも動いたよ

はじめに

Intel FPGAではシミュレーションには、旧Mentor Graphics(現ジーメンスEDA)のModelSimでしたが、Questaになったようです。

www.intel.com

ModelSimの時は32ビット版だったので、Windows 10のWSLでは動きませんでした。

ここには、

とあるので、Windows 10のWSLで動くかもしれない!

またシミュレーション速度ですが、

のように速くなります。おまけに

  • Mixed language support – Verilog/SystemVerilog, VHDL and SystemC

ということで、SystemCが追加されました。

21.3

Intel 開発ツール、21.3 で確認してみました。

Download Center for FPGAs

Windows版はありましたが、Linux版はありません。

Windows版では、

  • Questa - Intel FPGA Edition (includes Starter Edition) (Install Size: 2.15 GB)、Download Size: 440.0 MB
  • Questa - Intel FPGA Edition (includes Starter Edition) Part 2 (Install Size: 13.43 GB)、Download Size: 10.9 GB

の2つのファイルに分割されていて、2つのファイルをダウンロードしないとインストールできないです。Part 2が10.9GBもあるのでダウンロードに2時間以上かかりました。

インストール & ライセンスの設定

ダウンロードしたファイルをクリックして、インストールしました。

Intel FPGAのサイトでライセンスを獲得し、ライセンスファイル(license.dat)としてストアします。

環境変数の設定

環境変数 MGLS_LICENSE_FILE にライセンスファイル(license.dat) の場所を設定します。

動作確認

例題の examples/systemverilog/classes/associative_array に移動し、

vsim -c -do run.do

でシミュレーションができました。バージョンは、2021.2 でした。

Questa Intel Starter FPGA Edition-64 Version 2021.2 win64 Apr 14 2021

WSL2 での動作確認

WSL2 では、vsim ではなく、vsim.exe で実行できることを確認しました。

SystemVerilogの検証機能

ModelSimでは、SystemVerilogの多くの機能は利用できました。

Questa Intel FPGA Editionでも残念ながら、svverificationというライセンスが必要な検証機能は使えないようです。

  • constrained random
  • assertion

DPI

WSL2からもdpiの例題が全部動きました。

おわりに

Download Center for FPGAsには、

  • Free with purchase of Quartus Prime (Starting Oct 15th, 2021) -- Customers who have purchased Intel Quartus Prime Pro or Standard Editions in 2021 are eligible for 6-month free license.

とあるので、もしかしたら、有償のQuartus Primeを買うと、半年間、Questa Intel FPGA Edition が使えるようです。