Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

Xilinx xsimを調べる(その4)

はじめに

昨日に引き続き、xelab コマンドについてみていきます。

今日は、

  • SystemC/DPI 関連
  • Coverage 関連

のオプションについて、見ていきます。

xsim は、機能カバレッジ、コードカバレッジをサポートしているんですよね。

--dpi_absolute

DPIライブラリを LD_LIBRARY_PATHでロードするのではなく、絶対パス名を指定します。

--dpiheader arg

SystemVerilogのDPIの export/import function/task のヘッダファイルを生成します。

--dpi_stacksize arg

SystemVerilogのDPI task のスタックサイズを指定します。

--sc_lib arg

ファイルの拡張子(.dll/.so)無しで、SystemC関数の共有ライブラリを指定する。

--sv_lib arg

ファイルの拡張子(.dll/.so)無しで、SystemVerilog DPIのimport function/taskの共有ライブラリを指定する。

--sv_liblist arg

SystemVerilog DPI共有ライブラリをリストアップしたファイルを指定する。

--sc_root arg

SystemCのライブラリのルートディレクトリ名を指定する。デフォルトは、<current_directory>/xsim.dir/work/xsc

--sv_root arg

SystemVerilog DPIライブラリのルートディレクトリ名を指定する。デフォルトは、<current_directory>/xsim.dir/work/xsc

--cov_db_dir arg

機能カバレッジデータベースのディレクトリ名を指定する。/xsim.covdb/<cov_db_name> になる。デフォルトは、./ です。

# --cov_db_name arg

機能カバレッジデータベース名を指定する。<cov_db_dir>/xsim.covdb/ になる。デフォルトは、snapshot です。

# --cc_celldefines

デフォルトは、OFF

セル定義属性が設定されたライブラリ/モジュールのコードカバレッジ情報をキャプチャする必要があるかどうかを指定します。 デフォルトではオフです。

# --cc_libs

指定したすべてのライブラリのコードカバレッジ情報をキャプチャする必要があるかどうかを指定します。 デフォルトではオフです。

# --cc_type arg

Code CoveragStatistics-bcesfxtを生成するためのオプションを指定します。

--cc_db arg

コードカバレッジデータベース名を指定します。<xsim.codeCov/work.内に保存されます。デフォルトは Snapshot name です。

xsim.codecov ではなく、xsim.codeCov です。

xelab top -R --cc_type sbc

を実行すると、

xsim.codeCov/work.top/xsim.CCInfo

というファイルが生成されました。

--cc_dir arg

コードカバレッジデータベースは、ディレクトリ名を指定します。xsim.codeCovの下に保存されます。デフォルトは ./xsim.codeCov/ です。

xsim.codecov ではなく、xsim.codeCov です。

xelab top -R --cc_type sbc --cc_dir xxx

を実行すると、

xxx/xsim.codeCov/work.top/xsim.CCInfo

というファイルが生成されました。

おわりに

昨日、今日で、xelab コマンドの主要なオプションをみてみました。 今日は、xelab コマンドの

  • SystemC/DPI 関連
  • Coverage 関連

のオプションについて、みてみました。