Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

使ってくれているのかも?


Google君に「SystemVerilog」について聞くと2番目に出てくるアルティマの
SystemVerilogの魅力 2(活用編)C言語との I/F が簡単!に、(引用)
利用例を紹介すると、Nios®II を RTL でシミュレーションする代わりに、PC 上の CPU でアプリを処理させて Avalon®バスと I/F 、 RTL の未完成ブロックはCプログラムで動作させて既存の RTL ブロックと混在させた論理シミュレーションを実行、複雑なテストベンチをプログラムで生成させる、期待値とシミュレーション結果を自動照合させた結果のサマリを自分の携帯にメールしている方がいます。
って、


を使ってくれているのだろうか?

かなり気になる。

検証、Verification、SystemVerilog、DPI-C