Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

SystemVerilogによるテストベンチ実践会(その2)


先週の月曜日(6/12)のブログ、SystemVerilogによるテストベンチ実践会

に追加して、

 すべてのFPGAユーザーのために

  1. 初めてのテストベンチ
  2. タスクによるテストプログラム
  3. BFMによるテストプログラム

 Intel(ModelSim ASE)とXilinx(XSIM)限定 

  4. DPI-Cによるテストプログラム

 Xilinx(XSIM)限定、Vivado 2017.1以降

  5. AXI VIPを利用したテストベンチ
  6. ZYNQ-7000 VIPを利用したテストベンチ
       (ZYNQ-MPSOC VIPは、2017.3にサポート予定のようです)

のカテゴリーにあったチーム分けします。

各チームでみんなでワイワイ実践していく感じで進めたいです。