Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

ModelSim AE 10.3dをインストールし、動作確認しました


昨日は天気が悪かったので家に居ることにいることにしたので、久しぶりにPCのお掃除。
使えなくなったVisual Studio 2012関連や古いQuartusを削除。

それから、ModelSim AE 10.3dをインストールしました。
しかし、春頃から我が家のADSLは400Kbps程度しかでなくなり、
1.12GBをダウンロードするのに6時間ぐらいかかると、仕方が無いのでモバイルルーター経由で。
1時間かからずにダウンロードできました。。。。毎月6GB使えますが、3-4GB程度なので問題なしと。

ダウンロード後、インストールしたんですが、何故かexamplesのディレクトリはあるが中が空っぽ。
verilog_srcの下もファイルはない。なんでだろう。

動作確認ができないので、
とりあえず、Webで見つけたSystemVerilogのコードをダウンロードして動くことは確認できました。

Verilog HDL/VHDLの混在は、VHDLで8ビットのDFF作って、Verilog HDLでテストベンチ書いて、
どうにか動かすことができました。