Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2011-01-01から1ヶ月間の記事一覧

XilinxがAutoESLを買収!

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった あえて、HLSではなく、FPGAの書庫にしています。 Twitterからの情報で、FPGAベンダーのXilinxがHLSツールを開発しているAutoESLを買収しまし…

Beecube

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった EDSFair2011で見つけたBeecube。展示していたのは、Beecube4。その前の商品はBeecube3。 で、その前は、見つけました。Beecube2。 Beeは、Be…

verilatorでLint

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日、Twitterで @marsee101 さんがフリーなLintツール(Verilog HDL)ってありませんというツイートが ありましたので、ちょっと調べてみまし…

SCE-MI 2,1

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Accelleraは、SCE-MIの新しいバーション:SCE-ME 2.1をアナウンスしました。 引用 Version 2.1 has added support for a subset of the Syst…

EDSFair2011に行ってきました

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日のブログ、BSV by Example を get しました。では、EDSFair2011の初日のお話をしました。 昨日、夕方行ったときに何をチェックするかを…

BSV by Example を get しました。

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日、いや、昨日、ESDFair2011に、夕方、行ったら、 Bluespec SystemVerilog本で紹介しましたBSV by Exampleをいただきました。 ありがとう…

Impulse C、再び

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった システム・レベル設計/検証ソリューション・ガイド2011の 画像IPを活用し画像処理システムをハード化するC言語ベース設計手法では、 Impulse…

SystemC版VMMは、何処?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verification in the trenches: Transform your sc_module into a vmm_xactorでは、 SystemC版のVMMについて書いてあります。 で、実装はど…

Synphony C Compiler

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった システム・レベル設計/検証ソリューション・ガイド2011の中のSynphony C Compilerによると、 Synphony C Compilerは、300万ゲート以上になる…

THE SOCIAL NETWORK(2010)

映画好きの戯言 今日は、先日のゴールデングローブ賞作品賞(ドラマ)を受賞した ソーシャル・ネットワーク/THE SOCIAL NETWORK(2010)を見てきました。 劇場はいつものユナイテッド・シネマです。 なかなか良かったです。客入りは2割程度でした。Mixiの成功物…

Incisive Enterprise Verifierの機能、いいですね!

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 日経EDAOnlineのCadence,新世代EDA「Silicon Realization」の機能検証フローの概要を発表の図4●論理シミュレーションとフォーマル・ベリフ…

The VMM TLM Reactive Interface

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった VMM CentralのブログThe VMM TLM Reactive Interfaceは、 Example of Transaction-Level Communication in VMM 1.2の続きのようです。 vmm_t…

SimVisionの新機能

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった There's Another Simulation Failure! New SimVision Features Can Helpでは、 10.2でのSimVisionの新機能について説明しています。 ・sourc…

UVM LPとUVM AMSって、何ですか?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 日経EDAOnlineのCadence,新世代EDA「Silicon Realization」の機能検証フローの概要を発表によると、 論理シミュレータ(IES)の2010.2版では…

DSPのアクセラレーションとデバッグ

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった GateRocketは、MATLAB+SIMULINKを使ったDSPのアクセラレーションとデバッグにも利用できるようです。 ユーザー登録すれば、どんな感じにでき…

ModelSim Altera Edition v10.1は、6.6c

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Alteraの開発環境 Quartus II v10.1がリリースされています。 ModelSim Altera Editionも Windows 用 ModelSim-Altera Starter Edition v10.…

堂々、10位

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Tech Village(CQ出版社)の《2010年 年間アクセス・ランキング》昨年もっとも読まれた記事は... ?によると、なんと、 10位 無償ツールで実践…

RocketDriveとRocketVision

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった HDLシミュレーション環境にFPGAデバイスの精度と速度を持ち込むのがGateRocketが提唱している Device Native FPGA verification solution (D…

GateRocketのビデオ

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Device Native FPGA Verification and Debugというビデオを見てみました。 ・HDLシミュレーションは非常に遅い ・FPGAデバッグ時間が長くな…

2010 FPGA Journal Survey Results on Verification and Debugging

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった GateRocketに2010 FPGA Journal Survey Results on Verification and Debuggingというものがあります。 PDFファイルで7ページもの。 FPGA開…

本日、90000訪問者を達成しました。

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日の予測では、明日と思っていましたが、本日の訪問者が131を越えたので! (前回より、またまた、ちょっとだけ、早くなりました。年末年始…

UVM 1.0の特徴は、こんな感じ

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 日経EDAOnlineのCadence,新世代EDA「Silicon Realization」の機能検証フローの概要を発表に掲載された UVM 1.0の特徴は、 引用 ・ Run sub-…

ModelSim Actel Editionは、6.6d

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Actelの開発環境 Libero 9.1がリリースされました。 シミュレータは、ModelSim 6.6dのActel Editionです。ModelSim 6.6の一番新しい、6.6dで…

アルティマがGateRocketの日本代理店に!

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった EDA ExpressによるとFPGA検証ソリューションのGateRocketが日本上陸-アルティマと代理店契約のようです。 GateRocketは、FPGAデザインの検証…

SVeditor 0.3.6

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 先週の土曜日(2011.01.08(土))に、SVEditor 0.3.5をお届けしたばかりですが、 SVEditor 0.3.6が2011.01.09にリリースされました。 検証、Ver…

Modular Interfaces

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ForteのブログにModular Interfaces Part I: Benefitsがアップされました。 FroteはこのModule Interfacesという言葉を使います。 Module In…

SVEditor 0.3.5

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2010.8.28(土)のSVEditor 0.2.5から約4ヶ月、 フリーなSystemVerilogのエディタ:SVEditor 0.3.5がリリースされています。 EclipseのPlug-In…

Bluespec SystemVerilog本

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Bluespec SystemVerilogの本BSV by Exampleが$26でリリースされています。 検証、Verification、Bluespec SystemVerilog

合成配置配線、地獄

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日はお休みです。 年末年始は4日間の週末的な休みでしたが、来週の月曜日もお休みなので今週も4連休! 本題に入ります。 最先端&大規模FP…

Team Verifyのブログ

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのTeam Verifyのブログ、ABV(Assertion Based Verification)関連の記事が豊富です。 NextOp、ZocaloなどのAssertion関連も記事も…