Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2016-01-01から1年間の記事一覧

2016年を振り返って

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今年もあっという間に終わってしまいました。 このブログ、今年もいっぱいアップしました。 5月以降は、毎日アップしています。 1月(33)、2月(31)、3月(…

12月の映画鑑賞

映画好きの戯言 今月は8本。今年74本(内、DVD/BDは67本)。 博士と彼女のセオリー(2014)/THE THEORY OF EVERYTHING ファンタスティック・ビーストと魔法使いの旅(2016)が 話題のエディ・レッドメインが 2014年のアカデミー、ゴールデン・グローブ、英国アカデ…

2016年の映画鑑賞

映画好きの戯言 今年は、74本(内67本のBD/DVD)を観ました。昨年は36本だったので、倍ですね。 毎週一本は見ている計算だけど、GWまではほとんど見ていなかったです。 GW以降は週2本ぐらいです。 映画は綺麗な画像で見たいです。 なので、BDで観たいのですが…

来年のXilinx、その3

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日から年末年始のお休み。1月4日までお休みです。 さて、 来年のXilinx、その1 来年のXilinx、その2 XilinxのFPGAに関しては、次の3つのカテゴリーに…

来年のXilinx、その2

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 明日まで勤務日ですが、毎年寒くて、どうせ午後は帰るので、休暇にしました。 なので、今日が今年の最終勤務日です。 ちなみに、 夜、なべさんと新橋で…

来年のXilinx、その1

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今年のXilinx、話題はいっぱいでしたね。 来年はその話題を盛り上げていく年だと思っています。 でなんで、Alteraを取り上げないんだって。 Intelに買収…

来年のDesign Solution Forum!

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 5th RISC-V Workshop Proceedings November 29-30, 2016の スライドおよびビデオが公開されました。 年末年始のひと時に、ちょこっと、いや、頑張って読…

UltraZedは、895ドル!

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Look! Up in the sky! Is it a board? Is it a kit? It’s… UltraZed! The Zynq UltraScale+ MPSoC Starter Kit from Avnetによると、 AvnetがZynq Ultra…

PEZY-SCでRust

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Run Rust code on PEZY-SC processor なんと贅沢な。 PEZY-SCの現物を見たこと無いし。。 ほー、OpenCL APIで使うんだ。 でも、OpenCL Cではなく、普通…

AMD Ryzen

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AMDがRyzenを発表。 内容的には、PC Watchがいい。 AMDの新CPU、その名は「RYZEN」 やっとスレッド対応。88コア/16スレッドのCore i7-6900Kとほぼ同性能…

Firrtlator, a C++ FIRRTL library

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Twitterで拾った Firrtlator, a C++ FIRRTL library で、FIRRTLって何? はい、FIRRTL : Flexible Internal Representation for RTL UC Berkeley Archit…

ARMがAllinea Softwareを買収

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ARM Extends HPC Offering with Acquisition of Software Tools Provider Allinea Software Allinea Softwareって、 引用 Currently, 80 percent of the…

Xilinx Reconfigurable Acceleration Stack

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SC16で発表したXilinx Reconfigurable Acceleration Stack このブログでも11/28に紹介したけど、EETimesにもThe Reconfigurable Acceleration Stack is …

Linux 4.10

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Linux 4.10 Gets Early Support For NVIDIA Tegra Parker, Other New ARM Supportによると、 Linux 4.10では、 ・NVIDIA Tegra Parkerの早期サポート ・…

Intel XEDのソースコードが公開された

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Intel XEDのソースコードが公開されました。 あたし、XEDのこと知らなかったんだけど、 Intel® X86 Encoder Decoder Software Libraryでバイナリーは公…

第16回PCクラスタシンポジウム

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 行けなかったというか、行かなかった。 第16回PCクラスタシンポジウム 記録として残しておきます。 松岡先生の資料は2つ。 これとこれ Deep Learning Sh…

FPGAプログラミング大全 Xilinx編

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった コバさんこと、小林優さんの FPGAプログラミング大全 Xilinx編、584頁の大作。 今回も送られてきましたので、また、また、ありがとうございます。 明日(…

MicrosoftのConfigurable Cloudのプレゼン資料

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Microsoft's Production Configurable Cloud 何故か、OneDriveにストアされています。41頁もあります。 6頁ぐらいからFPGAのお話が始まって、10頁にv0、…

SamsungがRISC-Vを開発しているとか

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Samsung said to be developing its own CPU core based on RISC-V スマホ用のARMv8コア(Exynos M1コア)は独自開発していますね。 下の方のCortex-Mシリ…

Amazon EC2 F1 InstancesのWebinarは、12月16日

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 明日(12/16)の日本時間3:30〜4:30から Amazon EC2 F1 Instances with Custom FPGAsのWebinarがあるようです。 ここ=>Announcing Amazon EC2 F1 Instance…

CaviumのThunderXサーバー見てきました。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 先週の木曜日(12/8)にソフトバンクグループの本社の25階の食堂で行われた勉強会には、 ThunderXのサーバー(1xSoCのものと、2xSoCのもの)が展示されてい…

DragonBoard 410cもPSCIをサポート

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった QualcommのSnapdragon 410を使ったDragonBoard 410cがARM PSCIをサポートしました。 Linux 4.7のmsm8916.dtsでは、cpusの各cpuのところに enable-method…

Qualcommが48コアSoCを発表

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Meet Qualcomm Centriq 2400, the world’s first 10-nanometer server processor Quallcomm Centriq 2400は、全世界で最初の10nmサーバープロセッサ。 F…

Helio X23 / X27

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MediaTek Adds the Helio X23 and X27 to Its Deca-Core SoC Family Helio X20/X23/X25/X27って、 基本的には同じで、CPUコアとGPUコアの動作周波数が違…

SDSoC勉強会の申し込みを終了しました

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SDSoC勉強会の申し込みを終了しました。 想定していた人数よりは少なかったですが、 発表者 + LTで7名なので、濃い勉強会が出来そうです。 あたしの発表…

Fifth RISC-V Workshop

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Fifth RISC-V Workshop すべてではありませんが、スライドが公開されています。 EETimesから:RISC-V Expands its Audience lowRISCから:こちらには当…

Hauwei Kirin 970 SoC

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Huawei Kirin 970 SoC to be built on TSMC’s 10nm processだって、 Huawei、凄いよね。10nmのSoCだって。 Kirin 960と搭載したスマホ(Mate 9)のスペッ…

Esperanto Technologies

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 5th RISC-V Workshopのプレゼン資料: A Fast Instruction Set Simulator for RISC-Vの会社、Esperanto Technologies 2014年11月創業のStealth mode sta…

MIRACLE LINUXのFPGA活用事例

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MIRACLE LINUXが IOT領域でのFPGA活用に関する研究開発成果を公開しています。 テキスト処理の高速化への手法 PCIe Gen3 x8にFPGAボード(アルファデータ…

AmazonのEC2 Instances (F1) with Programmable Hardware

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AmazonがXilinxのFPGA(PCIeボード)を搭載する 「EC2 Instances (F1) with Programmable Hardware」を提供するような。 Developer Preview – EC2 Instanc…