Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2016-01-01から1年間の記事一覧

PacketのARMv8サーバーが見られるかも

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Softbank本社で勉強会をやるということで、 Tech Night @ Shiodome # 1に申し込みをしました。 Softbank本社ってどんな感じなのかな、と思って。色々見…

ARM Code Advisor

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Youtubeに、 ARM Code Advisor Introduction and Demonstrationがアップされました。 ARM Code Advisorは、Performance Adviceをやってくれるようで、 …

ハードウェア開発、CPUアーキテクチャ Advent Calendar 2016

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 記録として、 「ハードウェア開発、CPUアーキテクチャ Advent Calendar 2016」の3日目(12月3日)を書きました。 マルチコア、GPGPU、FPGA

IBM Power System S822LC for HPC

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 先日、とあるセミナーにて、 IBMのPOWER8が搭載されているGPUコンピューティングマシンである IBM Power System S822LC for High Performance Computing…

ARM Tech Symposia 2016

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は、ARM Tech Symposia 2016 ですね。 あたしも申し込みしました。 基調講演に ソフトバンクグループ副社長&ソフトバンク社長の宮内さんが決まった…

キター、RISC-Vチップと評価ボード

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 来ました。RISC-Vチップ。 RISC-V Chips Are Here : HIFIVE1 Board SiFive launches open source RISC-V custom chip HiFive1: Open-Source, Arduino-Co…

OpenCL for FPGA

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 長年AlteraでOpenCLの開発をしているDmitry Denisenkoさんの発表です。 OpenCL for FPGAs 101頁もありますよ。 ・FPGA Architecture ・Design Methodolo…

DragonBoard 820と600

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Linaroの96Boardsのドキュメントに、DragonBoard 820が追加されました。 LinaroのDragonBoard 820の Reference Platform Build – CE OpenEmbedded Yocto…

XilinxのReconfigurable Acceleration

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった XilinxのReconfigurable Acceleration、プレスリリースも。 なんか、昨日ブログに書いたIntelのディープラーニングと似ているよね。 まー、FPGAでDeep N…

11月の映画鑑賞

映画好きの戯言 今月は11本。今年66本(内、DVD/BDは59本)。 キャロル(2015)/CAROL ケイト・ブランシェットが出ているということで借りてみた。キャロルは主人公の名前だったのね。クリスマスのキャロルだと思って借りました。 共演のルーニー・マーラがなか…

RISC-V 5th Workshop Preview

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Cadenceも力を入れているRISC-V ブログ、RISC-V 5th Workshop Previewをアップしています。 11月29日と30日にGoogleで開催されるようですね。 Qualcomm…

Qualcomm Snapdragon 835

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Snapdragon 830では、Samsungの10nmで開発するのではと書きましたが、 Qualcommが正式にプレスリリースを出しましたね。830ではなく、835として Qualcom…

Intelのディープラーニング

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Twitterで流れたの知った。 Intel Deep Learning Inference Accelerator (DLIA) on Arria 10 約1時間25分の講演内容。 資料は、Google Driveにアップさ…

第1回FPGAスタートアップセミナーで、LTしました。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 第1回FPGAスタートアップセミナーで、LTします。ということで、 日曜日(2016.11.20)にサイボウズ@東京日本橋タワーに行ってきました。 27Fの半分ぐらい…

ARM HPC Ecosystem 2016

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SC16でARMが発表したARM HPC Ecosystem SC16 OpenHPCなるものが。。。現在のバージョンは1.2。 その他に、 ・ARM C/C++ Compiler ・ARM Performance Lib…

高位合成友の会 第4回

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は、「高位合成友の会 第4回」ですね。 前回までの2回(第2回、第3回)は、東銀座のドワンゴのセミナールームでしたが、今回は東工大で。 (第1回はロ…

MicrosemiがRISC-Vコアを搭載したFPGAを

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Microsemi Offers First FPGA-Based RISC-V IP Core RISC-Vコアは、 SiFiveのもので、32ビット版のRV32IM。 SmartFusion2で100MHzで動くって。 ちなみに…

Broadcom Vulcan

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった BroadcomのVulcan Teamがレイオフされたという情報が流れていました。 Vulcan Team layoff 約1ヶ月ぐらい前に足ったスレッドですが、その後のコメントで…

アクセラレータ向け分散処理ライブラリ

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった TAMAMOはじめます-アクセラレータ向け分散処理ライブラリ- オープンソースカンファレンス2016 Tokyo/Fallの懇親会LTでの発表です。 TAMAMO : Take Advan…

Arty-Z7

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった DigilentがArty-Z7をリリース。 2011年のZedBoard、2012年のZyboに続き、今年2016年は、Arty-Z7を。 Zynq-7010を搭載するArty-Z7-10とZynq-7020を搭載す…

QaulcommのFalkor CPUコア

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった LLVM Now Supports Qualcomm's New Falkor CPU QaulcommでFalkorってCPUコア開発しているんだ。 これによると、ARM Cortex-A57のお仲間? Qualcomm FALK…

PGI Community Editionは、無償

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった このツイートで知った。 これにある “PGI Community Edition” が登場 – OpenACC の普及を加速 によると、PGI Community Editionは、無償なようだ。 PGI …

告知:SDSoC勉強会(2016/1/28:土)@渋谷

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SDSoC勉強会を来年の1月28日(土)に開催します。 場所は、ZynqMP勉強会と同じ渋谷です。 夏ぐらいから勉強会やりましょうね。と、Twitterでツイートして…

BaySandのShuttleでRISC-Vが使える

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Codasip and BaySand Partnership Makes RISC-V Based ASICs an Ideal Choice for IoT Designsによると、 BaySandの65nmと40nmのUltraShuttleでRISC-V互…

第1回FPGAスタートアップセミナーで、LTします。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 第1回FPGAスタートアップセミナー@11/20(日)にて、 「FPGA Community」について、5分間のLTします。 青木さんのsigboostは、某勉強会で知って、 その後…

Visual System Integrator (VSI)

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Xilinxのブログで見つけました。 Visual System Integrator enables rapid system development and integration using processors and Xilinx FPGAs Sys…

Intel FPGA SDK for OpenCL v16.1

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Intel FPGA SDK for OpenCL のv16.1がリリースされましたね。 ALtera SDK for OpenCLからIntel FPGA SDK for OpenCLになっていますね。 AlteraがIntelに…

6.175: Constructive Computer Architecture (Fall 2016)

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった RISC-V関連でGoogle君に色々聞いてみたら、 6.175: Constructive Computer Architecture (Fall 2016)を見つけました。 コンピュータ・アーキテクチャの…

ISC2016のWorkshop Papersがダウンロードできます。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日、11/14は埼玉県民の日なので、お休みしています。 2年前に、勤務先で、11/14は埼玉県民の日なので、有給休暇を取りますって、メールしたら、 えー…

Virtex UltraScale+ HBM device family

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Xilinx Virtex UltraScale+ FPGAs incorporate 32 or 64Gbits of HBM, delivers 20x more memory bandwidth than DDR Twitterで流れてきましたが、スル…