Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2008-12-01から1ヶ月間の記事一覧

SystemVerilogに始まり、SystemVerilogに終わった2008年

Verification Engineerの戯言 この1年(2008年)を振り返ってみると、 1月 : OVM 1.0リリースに始まり、 3月 : GoogleとYahooで「Verification Engineer」で検索トップに 4月 : OVPSimダウンロード開始、OVMセミナー、 5月 : SystemVerilogスタートアップ本、…

2008年下半期に観た映画は、!!!

映画好きの戯言 2008年下半期に観た映画は、劇場で13本、DVDで56本+6本(テレビシリーズ:スティーヴン・キングのキングダム・ホスピタル) 2008年下半期での10点満点は、 P.S. アイラヴユー/P.S. I LOVE YOU(2007)(劇場)と88ミニッツ/88 MINUTES(2007)(DV…

今週の映画鑑賞、12_28-12_31

映画好きの戯言 椿三十郎(2007)(テレビ) 「灯台下暗し」 灯台の真下が暗いように、身近な事はかえって気付かず見落としがちなことのたとえ。 「灯台」は、上に油皿をのせて灯心を立て火をともす、昔の室内照明器具。 スライサー/THE MANGLER REBORN(2005)(オ…

2008年下半期にDVDで観た映画(その2)

映画好きの戯言 恋愛依存症<未>/COME EARLY MORNING(2006) ゼア・ウィル・ビー・ブラッド/THERE WILL BE BLOOD(2007) スティーブン・キングの ゴールデン・イヤーズ/STEPHEN KING'S GOLDEN YEARS(1991) トラックス/TRUCKS(1998) ザ・スタンド/THE STAND(1994…

2008年下半期にDVDで観た映画(その1)

映画好きの戯言 ウェイトレス ~おいしい人生のつくりかた/WAITRESS(2006) アレックス・ライダー/STORMBREAKER(2006) キングダム/見えざる敵/THE KINGDOM(2007) パルス/PULSE(2006) ディスタービア/DISTURBIA(2007) マッチポイント/MATCH POINT(2005) 地獄…

2008年下半期に劇場で観た映画

映画好きの戯言 スピード・レーサー/SPEED RACER(2008)(7/10) ハプニング/THE HAPPENING(2008)(7/27) インクレディブル・ハルク/THE INCREDIBLE HULK(2008)(8/1) ダークナイト/THE DARK KNIGHT(2008)(8/9) ベガスの恋に勝つルール/WHAT HAPPENS IN VEGAS(200…

今週の映画鑑賞、12/21-12/27

映画好きの戯言 ペネロピ/PENELOPE(2006)(DVD) コメディ、ファンタジー、そして、ラブストーリー、いろいろな要素が楽しめる作品。 ペネロピは、スピード・レーサーのクリスティナ・リッチ。 といってもイメージがわからないと思うので、アダムス・ファミリ…

VMM 1.1:vmm_testクラスの例題(その2)

Verification Engineerの戯言 テストプログラムのexamples/std_lib/vmm_test/alu_test.svを見てみましょう。 `include "alu_if.sv" program alu_test(alu_if.drvprt alu_drv_port, alu_if.monprt alu_mon_port); `include "alu_env.sv" `include "tests.incl…

VMM 1.1:vmm_testクラスの例題(その1)

Verification Engineerの戯言 vmm_testクラスの例題は、examples/std_lib/vmm_test/testsディレクトリの次のファイルです。 test_add.sv test_sub.sv test_mul.sv test_ls.sv test_rs.sv たとえば、test_add.svでは、次のようになっています。 class add_tes…

VMM 1.1:vmm_testクラス

Verification Engineerの戯言 vmm_testクラスは、VMM 1.1で追加されました。 実は、このvmm_testクラスは、OVMのovm_testクラスと同様な機能を実現したものです。 ソースコードは、sv/std_lib/vmm_test.svとsv/std_lib/vmm.svに実装されています。 sv/std_li…

ケイデンス、Team Specmanを立ち上げる!

Verification Engineerの戯言 Specman Verificationによると、ケイデンスがブログ:Team Specmanを始めました。 End-users of e, Specman, Incisive Enterprise Simulator (IES), eRM/OVM e, and loyal Specmaniacs in general: have we got the blog for yo…

VMM 1.1:vmm_optsクラスの例題

Verification Engineerの戯言 vmm_optsクラスの例題は、examples/std_lib/record_replayディレクトリです。 Makefileの./simvコマンドの引数として、次のように+オプションを指定しています。 normal: ./simv +vmm_opts+NUM_TRANS=3+NUM_CHANS=1 +vmm_MODE=N…

THE DAY THE EARTH STOOD STILL(2008)

映画好きの戯言 英語のタイトルだと、ぱっと見わからないが、 今回鑑賞したのは、地球が静止する日/THE DAY THE EARTH STOOD STILL(2008)。 オリジナルは、地球の静止する日(1951)/THE DAY THE EARTH STOOD STILL 50年以上も前の作品。たぶん、見ていないだ…

今週の映画鑑賞、12/14-12/20

映画好きの戯言 目撃/ABSOLUTE POWER(1997)(テレビ) あんな大統領はありえないでしょう!またまた、イーストウッド、走っています。 NEXT -ネクスト-/NEXT(2007)(DVD) ジュリアン・ムーアは、ブライドネスの医者の妻役。美しすぎる母にも出ている。 合成…

VMM 1.1:jainickさんがVMM 1.1を語る

Verification Engineerの戯言 JanickさんがVMM 1.1について語ってくれています。: VMM 1.1 is finally out また、VMM Interoperability Kit for Veraは、下記のためのようです。 If you wish to use this version of VMM with OpenVera and/or DesignWare VI…

VMM 1.1:vmm_optsクラス

Verification Engineerの戯言 vmm_optsクラスは、std_lib/vmm.svとstd_lib/vmm_opts.svで定義されています。 このvmm_optsクラスは、シミュレータの+オプションの値を獲得するクラスです。 vmm.svのvmm_optsクラスの定義は、次のようになっています。 class …

OVM と VMMの2つがあるから!

Verification Engineerの戯言 Cool VerificationにOVM/VMM Interoperability and VMM 1.1がアップされました。 その中で If verification methodologies like the VMM and OVM get tied up in standards committees now, it may slow down progress tremendo…

VMM 1.1:examples/std_lib

Verification Engineerの戯言 VMM 1.1のexmples/std_libで追加されたものは、 - mss_simple - record_replay - vmm_test です。 mss_simpleは、マルチストリームシナリオ(vmm_ms_scenario/vmm_ms_scenario_gen)の例題です。 record_replayは、Parameterized …

SynopsysからVMM 1.1.0 正式アナウンス

Verification Engineerの戯言 SynopsysからもVMM 1.1.0の正式アナウンスがありました。 VMM Users Drive New Features in Expanded Release 日本シノプシスのプレスリリース(加筆:2008.12.20) 検証、Verification、SystemVerilog、VMM、Verification Method…

VMM Interoperability Kit for Vera

Verification Engineerの戯言 VMM-1.1.0のダウンロードページに、VMM Interoperability Kit for Veraというものがアップされています。 svディレクトリは同じようだが、VMM-1.1.0との違いはなんだろうか? docディレクトリが無いだけのような気がする。 検証…

VMM 1.1:README.txt

Verification Engineerの戯言 VMM 1.1のREADME.txtによると、更新されたものは、 - Source code for the VMM Standard Library (v1.5.4 => v1.8 ) - Source code for the VMM Register Abstraction Layer (v1.9.1 => v1.12) - Source code for the VMM Score…

VMM : 1.1.0がリリースされました。

Verification Engineerの戯言 VMM-1.1.-0がリリースされました。 私は、IntelligentDV - Blogで知りました。 さて、Interoperability Libraryは、VMM-1.1.0ではうごくのかなー。イタチごっこになっている! 検証、Verification、SystemVerilog、VMM、Verific…

OVM-VMM : 2つのInteroperability library

Verification Engineerの戯言 OVM/VMM Interoperability libraryは、1つに統一されていません。 CadenceとMentorがそれぞれ独自にライブラリを開発しています。 そのためかライブラリとして提供しているものがちょっと違います。 Cadenceは、VMM xactorをシ…

A Mentor OVM/VMM Interoperability library

Verification Engineerの戯言 MentorのOVM/VMM Interoperability libraryもOVM Forumにて公開されています。 このライブラリを使うには、OVM 2.0.1とQuesta 6.4a以降が必要のようです。 VMM-1.0.1-D.tar.gzをダウンロードし、解凍すると、 オリジナルのOpen …

今週の映画鑑賞、12/07-12/13

映画好きの戯言 マゴリアムおじさんの不思議なおもちゃ屋/MR. MAGORIUM'S WONDER EMPORIUM](2007)(DVD) ダスティン・ホフマンとナタリー・ポートマンの不思議な世界。 内容はファンタジーですごーくいいです。 そして、エリック役を演じるザック・ミルズの演…

MentorもLegacy VMMをサポート

Verification Engineerの戯言 メンター・グラフィックス、SystemVerilogベースクラス・ライブラリの相互運用を可能にするソリューションを提供: OVM環境でレガシーなVMMコードが再利用可能になりました。 Cadenceとは別のモノです。 このソリューションでは…

OVM-VMM Encapsulation Library(その2)

Verification Engineerの戯言 ovm_vmm_sequencerクラスも、sv/ovm_vmm_interop.svhファイルで定義されています class ovm_vmm_sequencer #(type T=vmm_data, type CH=vmm_channel) extends ovm_sequencer; //If you want to use the channel specialization.…

OVM-VMM Encapsulation Library(その1)

Verification Engineerの戯言 OVM-VMM Encapsulation Libraryでは、次のようなクラスを提供しています。 ovm_vmm_data#(T) -- vmm data wrapper ovm_vmm_sequencer#(T,CH) -- vmm scenario generator wrapper ovm_vmm_env#(T) -- vmm environment wrapper vm…

OVM : 2.0.1リリースされる

Verification Engineerの戯言 OVM Worldにて、OVM 2.0.1がリリースされました。 検証、Verification、SystemVerilog、OVM、Open Verification Methodology

VMM :Parameterized vmm_channelクラス

Verification Engineerの戯言 Parameterized vmm_channelクラスを使うには、 コンパイル時に+define+VMM_PARAM_CHANNELを指定し、 VMM_PARAM_CHANNELマクロを定義する必要があります。 sv/std_lib/vmm.svファイルでは、次のようにマクロ定義されています。 `…