Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2010-12-01から1ヶ月間の記事一覧

バーレスク(2010)

映画好きの戯言 トロンレガシーを見ようかどうか悩んで上映時間をチェックしていたとき、 その隣に今日観た、バーレスク/BERLESQUE(2010)のポスター見つけました。 全くケアしていなかった音楽映画。 そのバーレスク、たった今、観てきました。客入りは5から…

今月(12月)の映画鑑賞

映画好きの戯言 パラノーマル・アクティビティ/PARANORMAL ACTIVITY(2007)(DVD) マイレージ、マイライフ/UP IN THE AIR(2009)(DVD) 第9地区/DISTRICT 9(2009)(DVD) Dr.パルナサスの鏡/THE IMAGINARIUM OF DOCTOR PARNASSUS(2009)(DVD) ウディ・アレンの …

Post Processing Your OVM/UVM Simulation Results

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ、How Do You Debug Your Testbench when it Won’t Stand Still?では、 SimVisionでの効率的なPost Processing Your OVM/…

Maximizing Your Investment in the UVM

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった EETimesにて、Maximizing Your Investment in the UVMというWebセミナーあります。 ユーザー登録の必要有りですが、CadenceのUVMについて教…

The war is over: C++ and SystemC coexist in a single flow

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった EETimes Designに The war is over: C++ and SystemC coexist in a single flowという記事がアップされています。 Catapult-Cに関する記事で…

Interface 2011年2月号の特集

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今月(2011年2月号)のInterfaceの特集は、 HDLをごりごり書かずにSoCやFPGAが設計できる! 複雑化する回路設計にC言語やUMLで反撃! です。 発…

How you can figure how you configure (a VMM testbench)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった How you can figure how you configure (a VMM testbench)がアップされています。 Part 2: An interesting way to use VMM 1.2’s configurat…

エンジニアとして生産性を上げるには!(その5)

Verification Engineerの戯言 : TwitterSystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった エンジニアとして生産性を上げるには!(その4)の続きです。 今回はリストアップしたものを次の観点で分類してみます。それぞれが結果としてや…

UVM 1.0のドラフトがリリースされました。

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MitchanAtMentorによると、 ドラフトがリリースされました。 30日間のメンバーレビュー期間終了後、 1月20日のミーティングで、正式なリリー…

2010年の映画鑑賞(DVD編、その2)

映画好きの戯言 31から62本分(2010年12月30日に1本追加) エスター/ORPHAN(2009) パブリック・エネミーズ/PUBLIC ENEMIES(2009) イングロリアス・バスターズ/INGLOURIOUS BASTERDS(2009) ファイナル・デッドサーキット 3D/THE FINAL DESTINATION(2009) フォ…

2010年の映画鑑賞(DVD編、その1)

映画好きの戯言 今年のDVDでの映画鑑賞は、61本。 2009年は95本。2008年は112本。だったので、年30本ペースで減っている。 まずい。 1から30本まで! スラムドッグ$ミリオネア/SLUMDOG MILLIONAIRE(2008) パッセンジャーズ/PASSENGERS(2008) 天使と悪魔/ANG…

2010年の映画鑑賞(劇場編)

映画好きの戯言 今年の劇場での映画鑑賞は、残念ながら3回のみです。 インビクタス/負けざる者たち/INVICTUS(2009) ハート・ロッカー/THE HURT LOCKER(2008) NINE/NINE(2009) バーレスク/BERLESQUE(2010)

高速協調検証システム・モデリングガイド

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Twitter経由にて、高速協調検証システム・モデリングガイド なるものです。 どうやら、代表取締役社長はNTT LSI研究所出身の方のようです。 …

Why Virtual Platforms Need Advanced Verification

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ:Why Virtual Platforms Need Advanced Verificationは、ImperasのOVPに関する内容です。 OVPを使えば、Prototypingより…

UVM ML

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスは、OVM ML(Multi Language:SystemC & e)をリリースしていたが、 UVM版(UVM ML)もリリースしました。 ところで、いつ、UVM 1.0 …

OVP:ARM Cortexをサポート

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Imperasは、OVPでARMのCortexをサポートしたことをアナウンスしました。 Imperas?? and OVP?? Support ARM Cortex-M Cores and Provide Free…

ケイデンスの無料セミナー

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのセミナーが来月1月から3月までに何度もありますよ! UVMワークショップ C-to-Silicon高位合成技術ワークショップ うれしい、無…

ModelSim 10.0は、マルチコア対応です

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ModelSim SE 10.0のユーザーマニュアルのP.661に Multithreading on Linux and Solaris Platforms というのがあります。 LinuxとSolarisでは…

Mentorのウェブセミナー、たくさん

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2011年早々、MentorがMaking ESL Design and Verification a Realityというウェブセミナーをたくさんやります。 引用 ・High-level Synthesi…

エンジニアとして生産性を上げるには!(その4)

Verification Engineerの戯言 : TwitterSystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった エンジニアとして生産性を上げるには!(その3)の続きです。 前回は、何をしたかによって分類しました。今回は、何のためにしたのかによって分…

CASSANDRA'S DREAM(2007)

映画好きの戯言 ウディ・アレンの 夢と犯罪/CASSANDRA'S DREAM(2007)を見ました。 マッチポイント/MATCH POINT(2005) タロットカード殺人事件/SCOOP(2006) すべてロンドンで撮影されたようです。 この3作品は、ロンドン三部作と呼ばれているようです(誰が最…

エンジニアとして生産性を上げるには!(その3)

Verification Engineerの戯言 : TwitterSystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった エンジニアとして生産性を上げるには!(その2) の続きです。 月曜日から金曜日まで平日の5日間の記録はどうでしたでしょうか?できれば、これ…

John CooleyがBook Review?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Your Chance to Beat John Cooley!によると、 MentorのBlue BookのレビューをあのJohn Cooleyが書いていますよ! レビューの最後には(引用)…

Catapultは2009年もトップに!

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Fast Forward ESLにCatapultのシェアが50%を越えたようです。 半分を押さえてしまったわけです。すごいですね! とTwitterでもつぶやきまし…

A SystemC TLM 2.0 ARM Linux Boot Loader

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Virtual Platformを使って、SoCのソフトウェアの先行開発(早期開発)を行う。 今の流れは、これが基本。 それで、Virtual Platformで何を動か…

ModelSim 10.0なう

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ModelSimが6.6からいきなり、10.0になったよ! なぜ? ユーザマニュアル、リファレンスマニュアルも公開していますよ! 検証、Verificatin、…

Cadence、Synopsys、Mentor => Synopsys、Mentor、Cadence

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MentorがCadenceを抜いて2位の指定席を確保か,EDA/IPの9/10月期決算にて、 Mentorが2位の指定席になっているようです。 この5年間でCadence…

OVMを使って、ファームウェアを検証!

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verification HORIZONS 2010.11に、 Firmware Verification Using SystemVerilog OVMという記事があります。 著者は、Infineon SingaporeとM…

ForteとTLM

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのESLコミュニティセミナーで、CadenceのC-to-SiliconがTLM 1.0をサポートすることはお伝えしました。 HLS+SystemCで先を行って…

エンジニアとして生産性を上げるには!(その2)

Verification Engineerの戯言 : TwitterSystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった エンジニアとして生産性を上げるには (その1)の続きです。 全く困らないことを見つけるには、どうすればいいのか? 現状を把握する QC活動の…