Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2008-11-01から1ヶ月間の記事一覧

OVM : 2.0.1 は、Questa用!

Verification Engineerの戯言 OVM : 2.0.1 ?でも書きましたが、どうやらOVM 2.0.1は、Questa用のようです。 OVM Forumのスレッド:Where is ovm v2.0.1 package?に、Mentor社のDaveさんが、コメントしています。 Apache Licenseなのでカスタマイズしたもの…

今週の映画鑑賞、11/23-11/29

映画好きの戯言 つぐない/ATONEMENT(2007)(DVD) 全体的な流れだけであれば、アカデミー作品賞ノミネートまではいかないがラスト5分の告白のシーンがこの作品の決め手であろう。 これがなければ、その前の約2時間の話は、そうだったのね!で終わってしまう。 …

vmm_vxc_managerクラスの実装(その8)

Verification Engineerの戯言 EXECUTEコマンドで実行するシナリオIDは、SCENARIOコマンドで登録します。 SCENARIOコマンドを解釈するtry_scenario関数を見てみましょう! function bit vmm_xvc_manager::try_scenario(); if (this.argv[0].tolower() != "sce…

OVM : 2.0.1 ?

Verification Engineerの戯言 OVM Forumのスレッド:Where is ovm v2.0.1 package?によると、 Questa 6.4bにOVM 2.0.1がプリコンパイルされている模様です。 他のスレッド、OVM examples error in GUIでも、Questa 6.4bではovm-2.0.1になっています? 検証、…

vmm_vxc_managerクラスの実装(その7)

Verification Engineerの戯言 vmm_vxc_managerクラスのEXECUTEコマンドのシンタックスは、 [E]X[ECUTE] <sid> {<sid>} です。<sid>は、シナリオIDです。1つのEXECUTEコマンドで複数のシナリオIDを指定できます。 また、EXECUTEコマンドを複数記述できます。 ただし、EXECUTE</sid></sid></sid>…

vmm_vxc_managerクラスの実装(その6)

Verification Engineerの戯言 最後は、エラー無しのときはexecute関数を実行します。 // Execute only if there are no errors in the testfile if (this.log.get_message_count(vmm_log::FATAL_SEV + vmm_log::ERROR_SEV, "/./", "/./") > 0) begin `vmm_fa…

VCS-e、再び

Verification Engineerの戯言 2007年11月8日のブログe-Support in Synopsys' VCS ?でも書いたが、VCSでeがNativeに動く、がでてきた。 今回は、Think VerificationのHey Mom, it feels like Specman !. 元ネタは、ケイデンスのブログe Running Inside VCS An…

1408(2007)

映画好きの戯言 1408号/1408(2007)を初日の初回にて鑑賞。 原作はスティーヴン・キングの1408(日本語訳「一四〇八号室」)で新潮社刊「幸運の25セント硬貨」所収。 昔読んだが、本作が日本で公開されるのを知ってから読み直した。 映画と原作は、ストーリー展…

Janickさんのブログから:How to use VMM callbacks

Verification Engineerの戯言 Janickさんのブログが久しぶりにアップされました(How to use VMM callbacks) タイトルどおり、VMMのコールバックの使い方です。 ・どんなときにこのコールバックを使うのか? ・いろいろな方法があるが、どうしてコールバック…

THE MIST(2007)

映画好きの戯言 United Cinemaでは上映されなかったので、劇場で見ることができなかったTHE MISTをDVDにて鑑賞。 THE MISTの原作は、かなり前に読んだ。この映画はその記憶を思い出しながらの鑑賞となった。 まず、感じたのは、現在の特撮技術があったからこ…

今週の映画鑑賞、11/16-11/22

映画好きの戯言 ミスティック・ピザ/MYSTIC PIZZA(1988)(GyaO) ジュリア・ロバーツがプリティ・ウーマンに出演する前の作品。 このMYSTIC PIZZAは実在するようです。コネチカット州Mystic ~8月20日(月)~より。。。 そして、ちゃんとホームページ(Mystic …

vmm_vxc_managerクラスの実装(その5)

Verification Engineerの戯言 // Iterate over every command in the file and process it begin while (this.get_next_cmd()) begin this.process_cmd(); end end のprocess_cmd関数は、次のように定義されています。 function bit vmm_xvc_manager::proces…

Design Wave Magazineが休刊に!

Verification Engineerの戯言 DesignWaveMagazineが2009年3月号(2月発売)で休刊になるようです。 私は、doggie's blog:DesignWave休刊で知りました。 私にとっては、かなりショックです。 (企画から始めた)最初の編集長からのつきあいでした。 また、何度も…

vmm_vxc_managerクラスの実装(その4)

Verification Engineerの戯言 vmm_xvc_tcl_execute_file関数を実行した後は、ファイル内のすべてのコマンドを実行します // Iterate over every command in the file and process it begin while (this.get_next_cmd()) begin this.process_cmd(); end end t…

vmm_vxc_managerクラスの実装(その3)

Verification Engineerの戯言 vmm_xvc_tcl_execute_file関数は、vmm_xvc_managerクラスからどのように呼ばれるのでしょうか? README.txtに次のように書いてありました。 When used on a non-VCS simulator, it will be necessary to include the file $VMM_…

vmm_vxc_managerクラスの実装(その2)

Verification Engineerの戯言 前回、vmm_vxc_managerクラスのrunタスクの内容をみました。 今回は、runタスクのvmm_xvc_tcl_execute_file関数をみていきます。 vmm_xvc_tcl_execute_file関数は、vmm_xvc_manager.svファイルにはありません。 vmm_xvc_tcl_exe…

SCDSourceの記事:TLM 2.0 promising, but interoperability isn’t proven

Verification Engineerの戯言 TLM 2.0 promising, but interoperability isn’t provenでは、 JedaのCEOであるEugene ZhangさんがSystemCのTLM 2.0とInteroperabilityについて語ってくれています。 TLM 2.0という最低限のルールは決まりましたが、その上で動…

今週の映画鑑賞、11/09-11/15

映画好きの戯言 ザ・スタンド/THE STAND(1994)(DVD) ゲイリー・シニーズは、アポロ13やスネーク・アイズでメジャーに! ロブ・ロウは、1980年代ちょー人気者! キャシー・ベイツは、ミザリー、この後に黙秘の主役。 Disc 1(PART1 疫病 , PART2 夢 ) Disc.2…

mm_vxc_managerクラスの実装(その1)

Verification Engineerの戯言 オープンソースVMMでは、vmm_xvc_managerクラスも実装されています。 vmm_xvc_managerクラスは、VMM本のAppendix C XVC STANDARD LIBRARY SPECIFICATIONで定義されています。 公開関数およびタスクは、new関数とrunタスクのみで…

OVM 2.0 : Aldecがサポートを正式表明

Verification Engineerの戯言 アルデック社、OVM World パートナーへ OVM 2.0 サポート計画を発表しました。 Riviera-PROは、2009年第一四半期のリリースから OVM 2.0 のサポートを開始する予定ですのようです。 検証、Verification、SystemVerilog、OVM、Op…

Denali USB 3.0 VIP

Verification Engineerの戯言 DenaliがUSB 3.0仕様をサポートした検証IPをアナウンスしました。 詳細によると、 ・Supports all verification languages (Verilog, VHDL, C/C++, SystemC, SystemVerilog, 'e', and OpenVERA) ・Directly integrated into all…

vmm_vxc_managerクラスは、デフォルトではインクルードされていない!

Verification Engineerの戯言 vmm_xvc_managerクラスは、オープンソースVMMでは、デフォルトではインクルードされていません。 std_lib/vmm.svでは、各クラスの実装ファイルを読み込んでいますが、次のようにvmm_xvc_magaerクラスはインクルードしていません…

Verification Now 2008 - Yokohama, Japan

Verification Engineerの戯言 先日のVerification Now 2008 - Yokohama, Japanの模様がアップされました。 Grayさんのしゃべりが速くて、通訳の方が追いつけないということがありました。 同時通訳に慣れていなかったのかもしれませんね。 残念ながら、私は…

OVM : set_inst_override_by_typeは、OOPではなくAOP?

Verification Engineerの戯言 OVM 2.0では、set_inst_override_by_type関数を使って、指定したインスタンスが実行する関数/タスクを置き換えることができます。 これって、eのAOPと考えが似ていると感じるのは、私だけだろうか? ovm_componentクラスのset_in…

SpectaReg

Verification Engineerの戯言 今日は、Requirements Based Verificationって、なんだでちょっとだけ紹介したSpectaRegについてです。 このSpectaRegは通常のアプリケーションだけでなく、Web Applicationとしても公開しています。 Web Appilocation版は、こ…

今週の映画鑑賞、11/02-11/08

映画好きの戯言 スウィート・ノベンバー/SWEET NOVEMBER(2001)(GyaO) キアヌ・リーヴスのしゃべり方がなんだか変。 シャーリーズ・セロンはなんだかかわいらしい。 でも、この演技でラジー賞(ゴールデン・ラズベリー賞)のワースト主演女優賞を受賞! 最初に…

新横浜は、SystemCのメッカ

Verification Engineerの戯言 新横浜は、日本のシリコンバレーに書きましたが、多くのEDA関連ベンダーがあります。 その中でもSystemC関連のベンダーのほとんどがあるのです。ほとんどとは、CoWareが無いからです。 フォルテ、カリプト、Jeda(HDLab)、(EVE) …

新横浜は、日本のシリコンバレー

Verification Engineerの戯言 Cynthesizer ユーザー交流会2008の資料を見て思い出したのですが、 新横浜は日本のシリコンバレー、特にEDA関連ベンダーが多いのです。 ケイデンス、マグマ フォルテ、カリプト、EVE HDLab、Vtech、図研、インベンチュア もっと…

ModelSim AE 6.3g

Verification Engineerの戯言 Quartus II v8.1リリースに伴い、ModelSim AE 6.3gがリリースされました。 ダウンロードには、ユーザー登録が必要ですが、ModelSim AE 6.3g Web Editionを使うにはライセンスは必要無いようです。 ModelSim XE 6.3cとModelSim A…

Verification Now 2008、Israel

Verification Engineerの戯言 Think VerificationでVerification Now 2008でのJL Grayさんの写真がアップされています。 この写真は、後半のセッションのものです。 また、セミナーの内容についても、コメントがあります。 検証、Verification