Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2011-10-01から1ヶ月間の記事一覧

みなみちゃんって、誰ですか?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった いつものように、Google君と遊んでいたら、 【第29回】メルマガ10月号みなみちゃんの悪戦苦闘「回路と基板」お勉強日記 初めて足を踏み入れ…

ウオール・ストリート(2010)

映画好きの戯言 BD付きのPCを購入してからレンタルDVDを借りるとき、BDがあればそれを借りるようになりました。 なんせ、PCは映画を観るために買ったもののようですから。 DVDの解像度が720x480に対して、BDは1920x1080(つまり、フルHD)。 購入したPCは残念…

今月(10月)の映画鑑賞

映画好きの戯言 今月は、劇場で1本、BDで3本、GyaOで1本なので、週1本をキープできました。 PCをBD対応にしたので、BDがあるものをなるべく借りるようにしております。 ツーリスト/THE TOURIST(2010)(BD) グリーン・ホーネット/THE GREEN HORNET(2010)(BD) …

検証エンジニアにも知ってもらいたい

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった プログラマが知るべき97のことを読みました。 日本語版は、日本人による10のことが追加されているので、合計107のことでした。 97+10は、違…

Xilinxは、CadenceとOVP(Imperas)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった プレスリリースによると、XilinxはZynq-7000シリーズのためのバーチャルプラットフォームに CadenceのVirtual System Platform(VSP)を採用し…

Design Tech Forumに申し込みました

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日、Tech Design Forumに申し込みました。 私は、11/29(火)のIC DAYの機能検証 & ESLソリューションにエントリしました。 IC-S1 : 日本市…

FPGAもダメか?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Xilinx、Altera共に売上減。 Alteraは前年度比1%減でしたが、Xilinxは前年度比10%減でした。 EDA Expressのサイトから 今期(11.7-9) Xilinx …

SynopsysのHector

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Hector RTL to C Equivalencyなるものを見つけました。 Author(s): Reily Jacoby [Synopsys Inc.], Boris Hristov [Ciena Corp.] 2009年のDA…

Verification Evangelistと名乗ることにした理由

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日、Verification Evangelist宣言ということで、 Verification EngineerからVerification Evangelistにした理由は、 このブログを始めたこ…

Verification Evangelist宣言

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ということで、今日から私は、 「Verification Engineer」改「Verification Evangelist」 を名乗ります。 よろしくお願いします。 でも、T…

Verilog-AMSでもアサーションができるんだ

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ssertion-based verification in mixed-signal designによると、 Verilog-AMSでもPSLやSVAをサポートしているものがあるようです。 よーく記…

今年、残っている検証関連イベントは?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 6月24日(金)から10月13日(木)の間に、下記の5つのセミナーに参加しました。 (この内、4つは休暇を取って参加しました) 2011/06/24(金):EVE …

Imperasの日本窓口正式発表とhdlab

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった OVPを提供しているImperasは、先週、2つの発表をしました。 Imperas Names Tokyo NanoFarm as Representative in Japan hd Lab Selects Open…

MPSim

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MPSim to be Used on All Design and Verification Projects for Increased Productivityということで、 Axiom Design AutomationはTriple R…

VMMとSQL

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Auto-Generation of Performance Charts with the VMM Performance Analyzerでは, VMMのPerformance Analyzerの結果をチャートで表示される…

TLM-Driven Design and Verification Methodology日本語版

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった TLM-Driven Design and Verification Methodologyの日本語版を入手しました。ありがとうございました。 非売品です。ペーパーバックですが、…

今日は、CDNLive! Japan 2011

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日(10/13)は、CDNLive! Japan 2011です。 いつものように休暇をとっての参加ですが、通勤と変わりません。 以下のRoom BのSystem Realizat…

AlteraのSoC FPGA用開発環境:Virtual Target

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Alteraがプレスリリース: Altera Releases FPGA Industry’s First Virtual Target for Software Development on SoC FPGAs この中のVirtual…

HDLForgeなるエディタ

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった HDLForgeなるオープンソースのVerilog HDL/SystemVerilogのエディタ。 Eclipseベースのエディタです。 まだ簡単にはダウンロードできません…

Aldec Web Seminar : Transaction Level Co-Emulation with Virtual Platform

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 10/13(木)にAldecがWeb Seminar:Transaction Level Co-Emulation with Virtul Platfomsを開催するようです。 このセミナーは、OVPを提供し…

猿の惑星:創世今日記(ジェネシス)(2011)

映画好きの戯言 1/22(土)のTHE SOCIAL NETWORK(2010)以来の劇場での映画鑑賞です。 今日(10/9)に見たのは、 猿の惑星:創世今日記(ジェネシス)/ RISE OF THE PLANET OF THE APES(2011)。 昔々見た猿の惑星シリーズ。何度も何度も見ました。 2001年にティム…

Verify2011の資料公開

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verify2011の資料が公開されました。 といってもすべてのセッションの資料は公開されていません。 私の資料は公開していますが、すべてでは…

SystemC Japan 2011の内容がケイデンスのブログに

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ: 17M Gates in 8 Months with 2 Designers -- What is Your ROI for Higher-Abstraction Design and Verification?に 2…

あなたは、サラリーマンエンジニア?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 私が勝手にメンターと決めている柴田さんのブログ: ソフトウェア開発が好きでないサラリーマンエンジニアをまずは読んでみてください。 若…

SystemC-AMS Tutorial

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemC-AMS Tutorialなるスライドがアップされています。 Verilog HDLやVHDLにもAMSがありますので、SystemC-AMS => HDL-AMS のパスはでき…

SNUG 2011 Austin

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった TwitterのTLで@JLGrayさんのツイートしていたので分かったのですが、 10/3(月)、日本時間の10/4(月)にSNUG 2011 Austinが開催されたようです…

SystemC-AMS :Introducing Dynamic Timed Data Flow

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった OSCIは、OSCI Continues to Enrich SystemC AMS Standard by Proposing New Features for Efficient Mixed-signal System-level Design アナ…

技術メモ(SystemVerilog)

SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今週見つけたSystemVerilog関連のブログ:技術メモ(SystemVerilog) 下記のようなエントリがあります(引用します) ・ランダマイズ 重み付け ・uvmのDoxyGen ・uvm example hello worl…

The Tourist (2010)

映画好きの戯言 久しぶりの映画に関するブログです。 昨日、TSUTAYAで借りたBDにて、ツーリスト/The Tourist (2010)を見ました。 アンジョリーナ・ジョリーとジョニー・デップ共演作です。 撮影中からそれなりの情報は得ていたのですが、やっと観ることがで…

今月(9月)の映画鑑賞

映画好きの戯言 9月は、DVDは1枚。残りはGyaOで5回。ノルマは達成。 クワイエットルームにようこそ(2007)(GyaO) ビール・フェスタ 無修正版 ~世界対抗・一気飲み選手権<未>/BEERFEST(2006)(GyaO) メゾン・ド・ヒミコ(2005)(GyaO) 記憶の棘/BIRTH(2004)(GyaO…