Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

Bluespec

Bluespec Lite

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった CybernetがBluespec Liteをアナウンスしました。 記述量の制限とユーザー固定ですが、年間100万円ですから。桁が違いますから。 この記述量の制限って、…

Bluespecで110コアプロセッサを!

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Bluespec Blogに久しぶりにアップされたもの、 Hot Chips 2013 & BSV High-Level Synthesis: 110 Core Processor Chip 110コアのプロセッサをBluespecで…

Semu

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 久しぶりに、Bluespec関連です。 先週BluespecがBluespec Introduces High-Speed Verification and Hybrid Prototyping Solution for RTL IPというプレ…

お詫び:「Bluespecユーザーミーティングに参加して」の記事について

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Bluespecユーザーミーティングに参加してに関して、 「ASICのRTLサインオフの基準を満たすコードを吐き出せていないから」 に対して、Blue…

Bluespec:富士通QNETの事例

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日のBluespecユーザーミーティングに参加してにEDA Expressがアップするかもと書きましたが、 日経EDAOnlineの方が先にアップしました。…

Bluespecユーザーミーティングに参加して

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 追記、2012.10.17 「ASICのRTLサインオフの基準を満たすコードを吐き出せていないから」 に対して、Bluespec関係者から誤解があると、ご連…

今日は、Bluespec User Group Meeting 2012 Oct. ですね

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は、休暇を取って、Bluespec User Group Meeting 2012 Oct.に参加します。 最後のオリンパスの「Bluespec導入経緯とESL環境構築への適…

Bluespec User Group Meeting 2012 Oct.

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 1月27日(金)のセミナーから8ヶ月、またやります。 Bluespec User Group Meeting 2012 Oct.です。 今回は、3社の事例発表です。 富士通九州…

DAC2012:日立のBluespecの事例

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 【DAC 2012】Bluespec利用時の検証作業の課題を解決へ、日立が発表の記事。 Bluespecが生成するRTLのデバッグにVennsaのOnPointを使ったと…

Bluespec体験セミナー

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Bluespec体験セミナーが定期的に開催されるようです。毎月1回のようですね。 引用 2012/6/21(木) 東京 13:30~17:00(13:00受付開始…

IBM PowerPC Design in Bluespec

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Bluespec User Meeting 2012にて、 BluespecからのプレゼンテーションにあったPowerPCの事例は、IBM PowerPC Design in Bluespecだと思い…

Bluespec:MIT & Intelの事例(LEAP)

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Bluespec User Meeting 2012にて、BluespecからのプレゼンテーションにあったIntelの事例は、LEAP: A Virtual Platform Architecture for …

Bluespecは、他のHLSと違う

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Bluespec continues to attract venture moneyによると、4つの投資から1.5Mドル調達したそうな。 合計で18.25Mドル。 (某システムの55億円…

Free Bluesim

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Bluespec User Meeting 2012の最後に嬉しいお知らせ。日本限定でBluesimの無償板(Free Bluesim)がリリースされます。 リリース開始:2012…

Bluespec User Group 2012のVennsaのセッション

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった RTL Simのデバック効率を高めてくれるVennsaのOnPoint。どうやら、Bluespecに対応した模様。 BSVとBluespecが生成したRTLとマッピングをす…

Bluespec User Group Meeting 2012の日立の事例

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2012年1月27日(金)、今日行われたBluespec User Group Meeting 2012での日立の事例です。 評価を始めてから1年半で導入、その後、高位レベ…

Bluespec User Group Meeting 2012

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 1月27日(金)に秋葉原の富士ソフト アキバプラザにて、Bluespec User Group Meeting 2012が開催されます。 今回は前回評価事例を発表した日…

みなみちゃんって、誰ですか?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった いつものように、Google君と遊んでいたら、 【第29回】メルマガ10月号みなみちゃんの悪戦苦闘「回路と基板」お勉強日記 初めて足を踏み入れ…

FPGAボードでエミュレーションシステムを作る

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Bluespec社のEmulation Infrastructureの2番目の図を見て思ったこと。 PCI Express I/FのFPGAボードがあれば、エミュレーションボードできる…

emVMとTCP

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった H/W(FPGAボード)との接続は、SCE-MIとなっているのが一般的ですが、 emVMでは、ハードウェア側との接続をTCPを使って行うことができます。 T…

Tutorial emVM

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった emVMは実際にはどんなものなのでしょうか? Bluespec Tutorial Implementing a Software-Hardware Co-Execution with emVMでは、 emVMを使っ…

emVM

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった バーチャルエミュレータ(emvM)では、Bluespecが提供しているエミュレーション環境です。 汎用FPGAボードを使用してエミュレーションを実現す…

Bluespec SystemVerilogとSCE-MI

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MIT Professor uses ESL tools and FPGAs to teach system architectureでは、MITでのBSV(Bulespec SystemVerilog)を使用した講座に関するも…

BSV by Example を get しました。

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日、いや、昨日、ESDFair2011に、夕方、行ったら、 Bluespec SystemVerilog本で紹介しましたBSV by Exampleをいただきました。 ありがとう…

Bluespec SystemVerilog本

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Bluespec SystemVerilogの本BSV by Exampleが$26でリリースされています。 検証、Verification、Bluespec SystemVerilog

Bluspec、なかなか来ませんね!

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2009年の夏に、Bluespecを思いっきり取り上げ、 SystemVerilogの世界へようこそにも専用のページBluespec SystemVerilogまで作ったのに。 な…

Bluespecで書いたソート回路

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Bluespecで書いたソート回路がアップされています。 検証、Verification、Bluespec SystemVerilog

Bluespec 2.0

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Bluespecのサイトを見たら、 Bluespec 2.0 になっていた。 一体、何が進化したのだろう。 下記の内容が加わった。 BluespecのWebサイトから引用 blue…

Bluespec User Group Meeting 2010レポート by 小島さん

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 日経EDAOnlineに昨日のBluespec User Meeting 2010の内容が ほれ込むハード設計者が多い「Bluespec」,東京で2回目のユーザー会,富士通セミコンと…

GarySmith氏の講演でBluespecが

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 EDAExpressのツイートによると、 GarySmith氏の講演 BluespecはSoftware Virtual Prototypeにおけるモデリングの問題を解決するだろう だって、ま…