Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2010-01-01から1ヶ月間の記事一覧

今月(1月)の映画鑑賞

映画好きの戯言 今月は、DVD:7本 スラムドッグ$ミリオネア/SLUMDOG MILLIONAIRE(2008)(DVD) パッセンジャーズ/PASSENGERS(2008)(DVD) 天使と悪魔/ANGELS & DEMONS(2009)(DVD) スター・トレック/STAR TREK(2009)(DVD) ターミネーター4/TERMINATOR SALVATION…

無償ツールで実践する「ハード・ソフト協調検証」(8,最終回) ―― シミュレータやコンパイラの入手方法

Verification Engineerの戯言 本日、CQ出版社の半導体ネットに、 第8回 シミュレータやコンパイラの入手方法 がアップされました。 今回(第8回、最終回)は、 Appendix A さまざまなタイプのライセンスが用意されているModelSim Appendix B ModelSim AEにはLi…

Bluespecデザインコンテスト2010でiPadをゲットしよう

Verification Engineerの戯言 : SystemVerilogの世界へようこそ 今日、EDSFari2010に行って、 Bluespecデザインコンテスト2010の商品が先日発表になった iPad であることを確認しました。 【EDSFレポート】サイバネット、Bluespecを用いたデザインコンテスト…

Bluespecデザインコンテスト2010、参考資料

Verification Engineerの戯言 : SystemVerilogの世界へようこそ Bluespecデザインコンテスト2010の参考資料として、 All of SystemVerilogのBluespec SystemVerilogをご利用ください。 このブログにアップした記事をまとめています。 検証、Verification、Bl…

OVM : Module-based approach

Verification Engineerの戯言 : SystemVerilogの世界へようこそ CadenceのプレスリリースCadence OVM SystemVerilog Solution Enables More Thorough Verification and Reduces Costs at Mitsubishi Electricによると、 OVMをModule-based approachで構築し…

Googleで3位、4位に

Verification Engineerの戯言 : SystemVerilogの世界へようこそ SystemVerilogの世界へようこそ (All of SystemVerilog) が Googleで 日本語のページを検索 では、3位 ウェブ全体から 検索 では、4位 になりました。 うれしい 後は、 1位、SystemVerilog - W…

HLS : Catapult-C、SystemCサポートをアナウンス

Verification Engineerの戯言 とうとう、Catapult-CもSystemCをサポートするとアナウンスしました。 メンター・グラフィックスのCatapult C Synthesis、SystemC合成に対応しフルチップで合成機能を拡張 EDAOnlieのEDSFプレの記事【EDSFプレ】米Mentorの高位…

OVM : OVM-SV and OVM-e to SystemC TLM2 Integration Example(その3)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVM-SV/OVM-eは、TLM-2ではなく、TLM-1です (VMM 1.2は、TLM-2をサポートしましたが、OVM 2.1ではまだTLM-2はサポートしていませんので)。 そこで、SystemC側にTLM-1とTLM-2を変換するアダプタ…

Anton Yelchin

映画好きの戯言 今回取り上げるのは、若手俳優のAnton Yelchin(アントン・イェルチン)。 ものすごく偶然なのだが、週末用にTSUTAYAで借りた2枚のDVD STAR TREK(2009) TERMINATOR SALVATION(2009) の両方に出演している。 最初に観たSTAR TREKでは、ロシア語…

Bluespecデザインコンテスト2010、開催アナウンス

Verification Engineerの戯言 : SystemVerilogの世界へようこそ サイバネットシステム株式会社からBluespecデザインコンテスト2010開催のアナウンスがありました。 期間は、2010年3月1日(月)~5月31日(月)到着分まで有効です。 コンテスト専用ページは、…

OVM : OVM-SV and OVM-e to SystemC TLM2 Integration Example(その2)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVM-SV/OVM-eとOVM-SC間のデータは、TLM-2のGeneric Payloadベースになります。 このGeneric PayloadをOVMのクラス(ovm_gp)として次のように定義しています。 OVM-SC: class ovm_gp : public o…

無償ツールで実践する「ハード・ソフト協調検証」(7) ―― DMAの割り込み機能を確かめられるようにする

Verification Engineerの戯言 本日、CQ出版社の半導体ネットに、 第7回 DMAの割り込み機能を確かめられるようにする 今回(第7回)は、 18.割り込みに対応する 19. 他のBFMに適用する(PCI,PCI Express,AMBAなど) です。 次回(第8回)が最後です。 検証、Ve…

OVM : OVM-SV and OVM-e to SystemC TLM2 Integration Example(その1)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVM WorldのWorld ContributionsにOVM integration with SystemC TLM2がアップされました。 ケイデンスによるOVM-SV and OVM-e to SystemC TLM2 Integration Exampleです。 ケイデンスのOVM-ML…

ModelSim 6.6

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVM ForumでMentorから6.6を使えば?という内容があったので調べてみたら、 どうやら、6.6はリリース済みのようです(先週は、まだリリースされていなかったのだが)。 ModelSim PE - Simulation…

SystemVerilog: process(その4)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ processは、VVM 1.2の中でも使われています。使われている場所は、 vmm-1.2/sv/RAL/vmm_ral_backdoor.svの210行目です。 210: local process update_thread; ハンドルが生成される場所は、同じ…

UVM (Universal Verification Methodology)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ AccelleraがUVM (Universal Verification Methodology) 1.0を2010年Q1にリリースするとアナウンスしました。 VIP‐TSC Standardization Update 関連記事 AccelleraのVerification IP Group OVM/…

無償ツールで実践する「ハード・ソフト協調検証」(6) ―― 協調シミュレーションを実行する

Verification Engineerの戯言 本日、CQ出版社の半導体ネットに、 第6回 協調シミュレーションを実行する がアップされました。 今回(第6回)は、 12.シミュレーションの準備 13.SystemVerilogコードをコンパイルする 14.C言語プログラムをコンパイルする 1…

SystemVerilog: process(その3)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ processは、OVM 2.1の中でも使われています。使われている場所は、 ovm-2.1/src/methodology/sequences/ovm_sequence_base.svhの49行目です。 48: `ifndef INCA 49: protected process m_seque…

SystemC : OSCI Youtubeに登場

Verification Engineerの戯言 OSCIは、Youtubeに公式ベースを公開しました。 Official SystemC Channel 現在、公開されているのは、以下の3本です。 SystemC TLM-2.0 Extensions for Bus Locking Early Completion of SystemC TLM-2.0 Transactions Loosely-…

SystemVerilog: process(その2)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ SystemVerilog: process(その1)の続き。 各プロセスに対して、次の4つの関数あるいはタスクが用意されています。 kill() : プロセス(このプロセス内で生成した子プロセスも)を終了する。 awai…

SystemVerilog: process(その1)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ SystemVerilogには、processというクラスがあります。 今週は、このprocessについて、4連続でアップしていきます。 IEEE 1800-2005では、このprocessクラスは、つぎのように宣言されています(…

OVM 2.1 : callback

Verification Engineerの戯言 : SystemVerilogの世界へようこそ ソフトウェアでは、結構当たり前に使っているcallbackですが、 OVM 2.1にもcallback( ovm_callback )を追加されました。 ユーザガイドのPage.111~115と結構長めな説明があります。 この部分は…

OVM/VMM : factory(ファクトリ)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVMとVMMのファクトリは、 OVM => type_name::type_id::create(string name, ovm_component parent) VMM => type_name::create_instance(vmm_object parent, string name) です。 2つを覚える…

OVM 2.1 : factory(ファクトリ)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVMでのファクトリを用いたインスタンスの生成方法は、ユーザガイド(P.106のAbout the Factory)によると type_name::type_id::create(string name, ovm_component parent) になります。VMM 1.2…

無償ツールで実践する「ハード・ソフト協調検証」(5) ―― テスト・プログラムの作成とBFMのコードの生成

Verification Engineerの戯言 本日、CQ出版社の半導体ネットに、 無償ツールで実践する「ハード・ソフト協調検証」 ―― 第5回 テスト・プログラムの作成とBFMのコードの生成がアップされました。 今回(第5回)は、 10.テスト・プログラムを書いてみる ・dpi_f…

VMM 1.2 : factory(ファクトリ)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ 今年(2010年)、最初の日記は、VMM 2.1のファクトリです。 VMM 1.2では、factory(ファクトリ)が導入されました。OVMでは最初から導入されていましたが、、、 ユーザーマニュアルの2-63のTransac…