Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

無償ツールで実践する「ハード・ソフト協調検証」(8,最終回) ―― シミュレータやコンパイラの入手方法

Verification Engineerの戯言



がアップされました。

今回(第8回、最終回)は、
    Appendix A さまざまなタイプのライセンスが用意されているModelSim
    Appendix B ModelSim AEにはLinux版がある
  Appendix C Windows環境におけるCプログラムのコンパイル方法
です。

8回にわたって、AlteraのAvalon Verification IPを使ったDPI-Cの例を説明しました。

ご利用ください。

検証、Verification、SystemVerilog、DPI-C、ModelSim、CQ出版