Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2009-12-01から1ヶ月間の記事一覧

AVATAR(2009)

映画好きの戯言 2009年最後の日の大晦日。AVATAR(2009)を観ました。 2012を観たときの予告編があまりにもよかったのでどうしても観たかったのです。 162分と2012と同じくらい長い作品。 CG満載の作品です。舞台は2154年の近未来。 地球は出てきませんが、台…

今月(12月)の映画鑑賞

映画好きの戯言 今月は、劇場:2本、DVD:6本に、GyaO:3本、テレビ:1本。 晴れたらポップなボクの生活(2005)(GyaO) 2012/2012(2009)(劇場) ブッシュ/W.(2008)(DVD) エンバー 失われた光の物語<未>/CITY OF EMBER(2008)(DVD) トワイライト~初恋~/TWILIGHT…

2009年を振り返ってみて

Verification Engineerの戯言 2009年1月26日の今年は、仕込みの年!!!を読み返してみると、 本当に今年は今ある環境でいかに仕込むことができたか?に尽きると思います。 みなさんは、いかがでしたか? 私の仕込みについては、まだブログに書ける段階では…

Spec2Reg v1.1

Verification Engineerの戯言 : SystemVerilogの世界へようこそ Paradigm Works, IncがSpec2Reg(現在のバージョンは、v1.1)をオープンソースで公開ています。 ソースコード(SourceForge) ソースコードをダウンロードして展開したものの中のリリースノート(Re…

無償ツールで実践する「ハード・ソフト協調検証」(4) ―― SystemVerilog側のDPI-Cの記述を作成する

Verification Engineerの戯言 本日、CQ出版社の半導体ネットに、 無償ツールで実践する「ハード・ソフト協調検証」 ―― 第4回 SystemVerilog側のDPI-Cの記述を作成するがアップされました。 今回(第4回)は、 9.Avalon BFMをC言語のテスト・プログラムから使…

2009年に観たDVD(その2)

映画好きの戯言 X-ファイル:真実を求めて/THE X-FILES: I WANT TO BELIEVE(2008) ワールド・オブ・ライズ/BODY OF LIES(2008) DISCO ディスコ/DISCO(2008) 彼が二度愛したS/DECEPTION(2008) ザ・セル2/THE CELL 2(2008) TIME CRIMES タイム クライムス<…

2009年に観たDVD(その1)

映画好きの戯言 2009年に観たDVDは、全部で95本。 ミッドナイトクロス/BLOW OUT(1981) 奇跡のシンフォニー/AUGUST RUSH(2007) ダージリン急行/THE DARJEELING LIMITED(2007) 今日も僕は殺される/THE DEATHS OF IAN STONE(2007) アイム・ノット・ゼア/I'M NOT…

2009年の劇場での鑑賞

映画好きの戯言 2009年の劇場での鑑賞は、8回のみ。ちょっと少なかったなー。 4月以降は、9月と12月に各1本のみかー。 (12/31に、アバターを観たので、9本になりました) 007/慰めの報酬/QUANTUM OF SOLACE(2008)(1/25) マンマ・ミーア!/MAMMA MIA!(2008…

SystemVerilog Snippets for Emacs

Verification Engineerの戯言 : SystemVerilogの世界へようこそ the CoVerification blogにSystemVerilog Snippets for Emacsがアップされています。 Verilog HDLモードは、Mac's Verilog Mode for emacsがあります。 このモードは、SystemVerilogもサポート…

VMM 1.2 : VMM Standard Library User Guideは、1408ページ

Verification Engineerの戯言 : SystemVerilogの世界へようこそ VMM 1.2のVMM Standard Library User Guideは、なんと1408ページ。 ちなみに、 VMM 1.1のVMM Standard Library User Guideは、416ページ。 VMM 1.0のVMM Standard Library User Guideは、186ペ…

IEEE 1800-2009 Ready for Purchase

Verification Engineerの戯言 : SystemVerilogの世界へようこそ どうやら間に合ったようです。 これで、Verilog HDL(IEEE 1364-2005)とSystemVerilog(IEEE 1800-2005)が統合され、IEEE 1800-2009になります。 Mentorのブログによると、 IEEE Std. 1800??-200…

リファクタリング機能が付いたVHDLエディタ

Verification Engineerの戯言 EDAOnlineによると、 リファクタリング機能が付いたVHDLエディタ,ベルギーSigasiが製品版を提供開始ということです。 Sigsi HDTからパブリックベータ版がダウンロード可能です(ユーザ登録は必要)。 検証、Verification、VHDL

無償ツールで実践する「ハード・ソフト協調検証」(3) ―― Avalonインターフェースと検証用モデル

Verification Engineerの戯言 本日、CQ出版社の半導体ネットに、 無償ツールで実践する「ハード・ソフト協調検証」 ―― 第3回 Avalonインターフェースと検証用モデルがアップされました。 今回(第3回)は、 5.バス・レベル検証における活用事例 6.SOPC Build…

OVM 2.1リリース

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVM WorldからOVM 2.1がダウンロード可能です(ユーザ登録必要) VMM 1.2 vs OVM 2.1 さて、どうなるか? 検証、Verification、SystemVerilog、OVM、Open Verification Methodology

Maia言語

Verification Engineerの戯言 : SystemVerilogの世界へようこそ EDAOnlineのよると、 「RTLモジュールのテストベンチを「楽に」作る」,英EDAベンチャーが新言語と新ツールという記事がアップされた。 Maia EDA Ltd.からダウンロードできます。 Maiaという言…

VMM 1.2 : TLM-2.0をサポート

Verification Enginnerの戯言 : SystemVerilogの世界へようこそ VMM 1.2では、TLM-2.0をサポートしました。 VMM Blog のWhat Has TLM-2.0 Got To Do With It?に書いてあります。 また、Document HTMLは、Transport Interfaces in OSCI TLM2.0 検証、Verifica…

VMM 1.2 正式リリース

Verification Enginnerの戯言 : SystemVerilogの世界へようこそ VMM 1.2が正式にリリースされました。 VMM 1.2 Download VMM 1.2 Document HTML VMM 1.2 Document PDF Janickさんのブログ:Just in time for the holidays: VMM 1.2! 検証、Verification、Sys…

SystemVerilog : SV-2009 New Features、New Concise timeunit Syntax

Verification Engineerの戯言 : SystemVerilogの世界へようこそ SV-2005では、 timeunit 100ps; timeprecision 100ps; のように2行必要だったのが、 SV-2009では、`timescaleディレクティブと同じように timeunit 100ps/100ps; で1行になります。従って、書…

SystemVerilog : SV-2009 New Features、`__FILE__ & `__LINE__ Macros

Verification Engineerの戯言 : SystemVerilogの世界へようこそ C言語と同じように、 `__FILE__ : 現在のファイル名 `__LINE__ : 現在のライン数 が追加されました。 検証、Verification、SystemVerilog

2012

映画好きの戯言 9月に観たウルヴァリン:X-MEN ZERO/X-MEN ORIGINS: WOLVERINE(2009)以来なので3ヶ月ぶり。 2012/2012(2009) 主役は、1408号室のJohn Cusack。 全く売れてない作家で、奥さんも美容整形外科にとられ、息子には名前で呼ばれて…

無償ツールで実践する「ハード・ソフト協調検証」(2) ―― テスト・プログラムはC言語で書く

Verification Engineerの戯言 本日、CQ出版社の半導体ネットに、 無償ツールで実践する「ハード・ソフト協調検証」 ―― 第2回 テスト・プログラムはC言語で書くがアップされました。 今回(第2回)は、 3.DPI-Cのユース・ケース 1) SystemVerilog側からC言語を…

SystemVerilog : SV-2009 New Features、Pure Virtual Methods

Verification Engineerの戯言 : SystemVerilogの世界へようこそ OVMのソースコードを見ていたとき、ModelSim/QuestaSimではpure virtualをあったが、 ISUでは'virtual どうやらSV-2005では、pure virtualはサポートしていないということになった。 SV-2009で…

SystemVerilog : SV-2009 New Features、Queue Detele

Verification Engineerの戯言 : SystemVerilogの世界へようこそ Queueにエントリを削除するdeleteが追加されました。 最初の1つのエントリを削除するには、.delete(1)を すべてのエントリを削除するには、.delete()をコールすればいいようになりました。 ち…

SystemVerilog : SV-2009 New Features、Default Inputs For Module/Interface Ports

Verification Engineerの戯言 : SystemVerilogの世界へようこそ モジュールのインターフェースの入力ポートに対して、デフォルト値が設定できるようになりました。 input logic enable = '1 のように 検証、Verification、SystemVerilog

HLS : CyberWorkBench Forum 2009 の日経EDAOnlineの記事

Verification Engineerの戯言 CyberWorkBench Forum 2009の小島さんのレポートがアップされました。 「SystemCより壁が低いと感じた」,富士通QNETがNECの動作合成の評価結果を発表 「IPトランスポートFPGA開発へのCWB適用トライアル事例~ Cベースによる次…

SystemVerilog : AOP vs OOP

Verification Engineerの戯言 : SystemVerilogの世界へようこそ Enhancing System Verilog With AOP Conceptでは、 eのAOP(Aspect Oriented Programming)をSystemVerilogのOOP(Object Oriented Programming)で どのように表現すればいいかを説明してくれてい…

Welcome to the Verification Horizons Blog!

Verification Engineerの戯言 : SystemVerilogの世界へようこそ Welcome to the Verification Horizons Blog!が始まりました。 Tom Fitzpatrickさん、Dennis Brophyさん, Harry Fosterさん他がブログを書くようです。 検証、Verification、SystemVerilog

半導体ネットで連載中: 無償ツールで実践する「ハード・ソフト協調検証」

Verification Engineerの戯言 半導体ネットで 無償ツールで実践する「ハード・ソフト協調検証」の連載(8回)をしました。 (2009/12/06 - 2010/01/29)。 第1回 SystemVerilogのDPI-C機能 (2009/12/6) 第2回 テスト・プログラムはC言語で書く (2009/12/11) 第3…

無償ツールで実践する「ハード・ソフト協調検証」(1) ―― SystemVerilogのDPI-C機能

Verification Engineerの戯言 本日、CQ出版社の半導体ネットに、 無償ツールで実践する「ハード・ソフト協調検証」 ―― 第1回 SystemVerilogのDPI-C機能がアップされました。 SystemVerilogのDPI-Cの機能を使って、Altera社のAvalon Verification IPをC言語か…

SystemVerilog : FreeなAPB Verification IP

Verification Engineerの戯言 : SystemVerilogの世界へようこそ SysWipでは、SystemVerilogのフリーなAMBA APB Master/Slave Verification IPを提供しています。 ユーザー登録は、必要です。 VCS2008とQuestaSim6.4で動作確認しているようです。 検証、Verif…