Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2014-01-01から1年間の記事一覧

2104年を振り返って

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2014年に書いたブログは、これを入れて273。 そのうち、マルチコア、GPGPU、Altera OpenCL関連が200以上。7割以上ですね! Design Solution Forum 2014…

OpenCL環境

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 特別な手続き無しで利用できるOpenCL環境は! Intel : Windows/Android、Linux(CPUのみ)、Linux(CPU/GPU) LinuxでGPUを使いたいのならオープンソースのB…

2014年の映画鑑賞

映画好きの戯言 今年の映画鑑賞は、167本。ほとんどUULAでした。 ということは、今年公開された映画はほとんど見ていなかったのだね! 2014年 : 合計:167/ 8 (劇場:0, BD/DVD:8, UULA:155, GyaO:1, その他:3) 2013年:合計:242/ 111 (劇場: 0, BD109, DVD: 2…

12月の映画鑑賞

映画好きの戯言 12月の映画鑑賞は、な、な、なんと0本。 何故なら、 1. UULAがなかなか繋がらなくなったので、解約した。 2. ドコモのdビデオのアプリをiPadにインストールしたが、殆ど見たものだった。 3. 通勤途中は本を読むようにした 4. お昼は同僚と食…

アルテラのクラウド

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった アルテラのクラウドのようです。 有料のようですが、RegistrationのTerms and Conditionsには、お値段書いてありません。

Mali-T628でOpenCL

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Anton Installing OpenCL on Chromebook 2 in 30 minutesでは、Samsung Chromebook XE503C12にOpenCLにインストールするというお話。 Samsung Exynos 5 …

キュレーション

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ちょっと古いのですが、キュレーションなる本を読みました。 原書、日本語訳共に2011年です。 この本を読んで、 私が2007年から続けてきたこのブログは…

インテルもFPGAアプリケーションエンジニアを募集

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MicrosoftがFPGAアクセラレータ開発したり、ドワンゴがFPGAエンジニア募集したり、 ここにきて、FPGAがかなり盛り上がっていますが、なんと、インテルも…

SPIR 1.2が利用できる

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった OpenCLのSPIR。SPIR 1.2ならGithubに実装が公開されています。 SPIR 1.2のコードを使える処理系としては、 先日公開されたAMD APP SDK 3.0 Betaがありま…

AMD 7000 APU対応HSA Runtime公開

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AMD 7000対応のHSA Runtimeが公開されました。 Ubuntu 14.04とFedora 21に対応。 HSA Driverと一緒に使う? 昨日のAMD APP SDK 3.0 Beta with OpwnCL 2.0…

やっと来た!AMD APP SDK 3.0 BetaでOpenCL 2.0サポート

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AMDがAPP SDK 3.0 Beta with OpenCL 2.0 supportを公開しました。 OpenCL 2.0の機能を使ったサンプルコードもいっぱいです。 こちらからLinux(32bit), L…

K80のボード仕様書

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった NVIDIAのリッチなGPUボード(TESLA K80)のボード仕様書 PLXのバスブリッジ経由でTESLA GK210が2個搭載されています。 各チップには12GBのGDDR5メモリも!…

OpenCL meets FPGA #1 入門編 & #2 最適化編

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった CUDA & OpenCL advent Calendar 2014の12日目に登場した iitaku氏のOpenCL meets FPGA #1 入門編 めちゃくちゃ、詳しく書いてあります。AlteraのOpenCL…

APM X-Geneの評価ボードか買えるようになったよ!

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった APMのX-Gene の評価キットX-C1 Development Kitsが普通に購入できるようになりました。 ただし、APMから直接購入できるのは米国内のみ! 米国以外は、AV…

AdnroidでOpenCL

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった LinkedInのList of OpenCL supported Android Devicesに、OpenCLをサポートするAndroidのリストがアップされています。 これによると、Nexus 5、7(2013)…

QualcommのMARE

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Twitterで拾ったQaulcomm MAREのWhitePaper。 そして、MAREのサイト。SDKがダウンロード可能に。 YoutubeにTutorial Videoもアップされています。 Forum…

CAPSのソースコードは売りに出ていた。

@Vengineerの戯言 : TwitterSystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった CAPSが破綻?から5ヶ月。 今日、Twitterで拾ったCAPS OpenACC compiler source code for saleによると、2014年7月7日に、ソースコードを売りに出していた…

CCN-508の内部構成

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった HotchipのARM Next-Generation IP Supporting Avago High-End Networkingの6頁に、CCN-508の内部構成が出ています。CCN-508の内部構成はリング。 L3は8…

Catapult 8

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった CALYPTOがCatapult 8を発表しました。 Catapult 8では、新規開発のConfigurable Hierarchical Design Architectureというものを採用し、下記のような特…

誰でも、Specmanが使えるぞ!

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった EDA Playgroundで、AldecのRiviera-PROが利用できますが、 Specmanも利用できるようです。YouTubeにビデオがアップされています。 EDA Playgroundの左の…

箱入Tegra-K1

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Jetson TK1はお安くて、CUDAが使えるので便利ですが、ボードのみなので扱いがちょっと不便。 アスクがアナウンスしたアスク、Tegra K1搭載の組み込み向…

Intel QuickAssist Technology

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Twitterで拾ったプレゼンテーション資料。 FOGAs in the Data Center、FPGA 2014 Workshop この資料によると、Intel?? QuickAssist Technology なるもの…

Aldecのカバレッジ

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日はケイデンスについて書きましたが、今日はAldecです。 アルデック、要求ベース・ユーザー定義テストプランをカバレッジに組み込んだ検証手法を開発…

CadenceのFormalツール

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SynopsysがVerification Compilerにて、Static & FormalとSimulationを統合したのに対して、Cadenceはこの夏、Jasperを買収しました。 今年のJUG(Jasper…

Effective Modern C++

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった C++テンプレートテクニックの最後にEffective Modern C++のKindle版を買ったことを書きました。 2週間かけて、取りあえず、読みました。 initializer_li…

64ビットARMADA

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Marvell(米国コミックのマーベルは、MARVELでディズニー配下)がARM Cortex-A53コア搭載のSoC(PXA1908/PXA1936)をアナウンス。 PXA1908は4コア、PXA1936…

11月の映画鑑賞

映画好きの戯言 今月はたった3本。通勤途中は読書にしたので、お昼だけの鑑賞に。 10日頃、UULAのアプリがiPad対応になったら、なかなか繋がらなくなり、 解約しました。まー、ほとんど見ちゃったからもう見るもの無いんです。 ドコモのdビデオのアプリをダ…

FPGAアクセラレータ

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日のTwitterの話題は、 正社員【ニコニコ事業】ハードウェア開発エンジニア (正社員)ですね! 勤務先、歌舞伎座タワー 引用 日本最大級の動画サイトニ…

POWER8対応のAltera OpenCLシステム

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AlteraのOpenCLがPOWER8に対応しました。 アルテラと IBM、コヒーレント共有メモリFPGA アクセラレーション Power システムを発表 昨年の同じ時期にPOWE…

SDAccel

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった XilinxがSDAccelをアナウンスしました。 C/C++だけでなく、OpenCLもサポートしたSDAccel。 ホワイトペーハーは、こちら。 引用 包括的な SDAccel 環境に…