Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2015-01-01から1年間の記事一覧

2015年を振り返って

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2014年を振り返ってから1年。 2015年に書いたブログは、コレを含めて、304。2014年の273より多いです。 22(1月)、31(2月)、30(3月)、22(4月)、20(5月)、…

GCC 5.3.0で #pragma omp simd

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ChromebookのUbuntu 14.04LTS環境で、GCC 5.3.0をビルドすること数時間。 早速、OpenMP 4.0 の #pragma omp simd を試してみました。 下記のコードに対…

2015年の映画鑑賞

映画好きの戯言 今年の映画鑑賞はたったの36本。週一も観ていませんね。 2014年は167本(ほとんどがUULA)でしたが、 今年はUULAを解約したので、ほとんど見ていないですね。 1月の映画鑑賞、8本中6本が初。 2月の映画鑑賞、6本中4本が初。 3月-8月までの映画…

96Boards Software Reference Platform

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日(12/29)で今年のお仕事は終了です。 明日は休暇。年明けは4日と5日を休暇とし、6日からです。 Linaro 96Boardsのソフトウェアとして、Software Refe…

SYCLとComputeCPP

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった CodePlayのComputeCPP。 興味がある人は登録してねになっている。 IWOCL 2015でSYCL Hands on Using ComputeCppなるものがあった。 この資料のPage.5に…

11月-12月の映画鑑賞

映画好きの戯言 2ヶ月で7本です。少ないですね。ゲオで旧作になるのに一年近くかかるようになっているので。 チャイルド・プレイ/誕生の秘密<未>(2013)/CURSE OF CHUCKY オール・ユー・ニード・イズ・キル(2014)/EDGE OF TOMORROW ウォンテッド(2008)/WANTE…

The Altera SoC Developers Forum (ASDF)

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった そうそう、今日は、クリスマスですね。 特にイベントはありませんが。。。 我が家では、クリスマスイブの昨日の晩御飯は、なんと、"""雑煮"""でした。 A…

Renesas R-Car H3

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった RenesasのR-Carが64ビットコア(ARM Cortex-A57x4 + A53x4)になって登場。 Renesas R-Car H3 Deca-Core Processor and Driverless Car Roadmap 32ビット…

DVCon 2015のチュートリアル

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった DVCon 2015のチュートリアル資料が公開されました。 SystemVerilog Design: User Experience Defines Multi-Tool, Multi-Vendor Language Working Set N…

Parallel STL project

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Codeplay Through the Eyes of a HiPEAC Internに出てきたParallel STL C++17では、STLが並列対応になるんです。 N4310 : Working Draft, Technical Spe…

第十五回PCクラスタシンポジウム

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 先週の金曜日(12/18)の午後、Twitterで盛り上がった 第十五回PCクラスタシンポジウム の資料が公開されています。 PEZY Computingの社長齊藤さんの資料 …

OpenMP 4.0のsimd

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Intel Compiler では、 #pragma simd にて、C/C++コードをSIMD命令に変換してくれます。 OpenMP 4.0では、 #pragma omp simd でSIMD化できるようです。 …

ARMのSystemVerilog Assertion

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 備忘録として、 ARMからSystemVerilog Assertions (SVAs)がダウンロードできる模様。 ・AMBA 3 AXI System Verilog Assertions (SVAs) ・AMBA 4 AXI4, A…

PLI based Application

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemVerilogができる前、C/C++とVerilog HDLの接続はPLIを使って実現しました。 私もいろいろなアプリケーションを作って、利用していました。 ちょっ…

Remix OS

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった RemixPCは、Allwinner A64搭載のPC。69.99ドルです。 2GBメモリ、16GBeMMC、Wifi搭載。Ethernetポート、USB 2.0 Host x 2、HDMI付き。 Remix OSは、Andr…

PINE64

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった PINE64(日本語)は、Allwinner R18搭載の評価ボード。 Allwinner R18は、Allwinner A64の派生品?それともそのもの? ・HDMI ・USB 2.0 host x 2 ・イー…

Allwinner A64のラップトップ

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった It May Soon Be Possible To Build A Do-It-Yourself 64-Bit ARM Laptopでは、 Allwinner A64を使った自分で組み立てるラップトップを紹介しています。 …

ディスカッションする会

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 先週の高位合成友の会は非常に盛り上がって楽しかったですが、勉強会って、発表する側はそれなりの準備をして大変ですが、参加する側って、気軽なんです…

自分を売り込む?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった プロモート・ユアセルフ 最強のキャリアをつくる働き方、 ダン・ショーベル を読み終えました。 こちらはKindle版を購入しましたので、朝の通勤を中心に…

「市場は物理法則で動く」を読み終えました

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 市場は物理法則で動く ―経済学は物理学によってどう生まれ変わるのか? -マーク・ブキャナンをやっと読み終えました。 Kindle版が無いので、紙の本を購…

ARM Mimir GPU

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 10月下旬にCCI-550とDMC-500をアナウンスした時に、新しいGPU(Mimir)もアナウンスされました。 何故かコードネームだけで、まだ、型番が付いていません…

Samsung Exynos 8 Oct (8890)

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Samsungのフラグシップモバイルプロセッサ「Exynos 8 Oct (8890)」って、 ・Samsung 14nm FinFETプロセス ・ARMv8カスタムコア(8コア) ・ARM Mali-T880M…

高位合成友の会 第3回に行ってきました

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日の高位合成友の会 第3回に行ってきました。 開始の18時までに間に合うように、早めに(16:10)に勤務先を出発しました。 新橋で燃料注入して、銀座を…

NetSpped Systems

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 先週の水曜日にARM Tech Symposia 2015に行ってきました。 もう少し早く行きたかったのですが、最後の2セッションだけしか聞けませんでした。 引用 D-5…

Helio 12

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Rumor: MediaTek Preparing Helio X12 Chipsetによると、MediaTekはHelio X12なるものを準備しているそうな。 Helio 10(MT6795)は、Cortex-A53@2.2GHzx…

Pathscale Compiler

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった このブログでも2014/7/16に紹介したPathscale CompilerがARMv8対応の商品:EKOPath ARMv8を出しているようです。 引用 Key Features Strong support for …

NallatechのArria 10 2個搭載したFPGAボード

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった TwitterのTLから拾ったもの。筋トレのイケメンお兄さんが現地でパチっと写真を。 (そういえば、筋トレのお兄さん、筋トレツイート無くなったけど、筋ト…

DragonBoard 410cが日本でも買える

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 96Boards.orgのDragonBoard 410c。 日本で入手するのは困難でしたが、chip1stopから販売される予定です。出荷は12月14日から。 別途電源と変換プラグが…

Raspberry Pi ZERO

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ラズベリーパイ財団がRaspberry Pi ZEROを販売開始。 お値段は、ZEROだから、ゼロ円とまではいかないが、なんと、 5ドル 日本への送料は、4ポンドかかる…

AMD Huskyboard 96boards Enterprise Edition

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AMDのARMv8 64bit serverチップ搭載の96BoardであるAMD Huskyboard関連 AMD Opteron A-Series Processors AMD Huskyboard 96boards Enterprise Edition,…