Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

お仕事

WSLのUbuntu 16.04LTSは、18.04LTSにアップグレードしちゃいけない

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった すっかり勘違いして、Windows 10 20H1で導入された「WSL 2」を使用する方法をやろうとして、 1903 を入れてみて、この記事にある、 ・wsl -l -v ・wsl -…

40年間エンジニアとして楽しむための7つのこと!

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった たぶん、忘れちゃうので。。。 GW前にちょこっと書いたこのツイート、過去最高のいいね。900超えました。 40年間エンジニアとして楽しむための7つのこと…

今年(2018年)を振り返って、

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった まだ、12月27日ですが、今日が今年最後の労働日なので。。。 最初に、今年は、人生の中で、一番と言ってもいいぐらい、色々なことがありました。 何とい…

5か月経ちましたー。。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 早いもので、転職しましたから5か月が経ちました。 早いもので、転職してから3か月が経ちました 怒られるまで、勝手にやりますよ。 と2回、このブログに…

「結果を出すチーム」って?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Googleが実証した「結果を出すチーム」のすごく意外な共通点とは? 小室 淑恵:株式会社ワーク・ライフバランス代表取締役社長 昔、サイボウズのセミナ…

今日は Design Solution Forum (2018)ですね。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は、Design Solution Forum 2014 です 2015年)のDesign Solution Forum 今日は、Design Solution Forum 2016 今日は、Design solution Forum ですね…

怒られるまで、勝手にやりますよ。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 早いもので、転職してから3か月が経ちましたに書きましたが、 試用期間が終了したので、面接を。 時間は10分でしたが、5分で終わってしまいました。 前…

早いもので、転職してから3か月が経ちました

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 早いもので、プチ引退後、6月に転職してから、3か月経ちました。 試用期間にやっておきたかったことは、だいたいできたのは良かったです。 先週末(8/31)…

正に、「起点になろう。できるだけ早く」

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ちきりんのブログ、2018-07-01 起点になろう ここに書いてある内容、あたしがやってきたこと、やっていることと同じ。 あたしのツイートも記録のために…

学校では、教えてくれないよね。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 記録のために。 120: This Startup Just Built Japan’s Most Powerful Supercomputer この後半にある 長いですが、記録のために、引用します。 Daisuke:…

Windows subsystem for Linux と Visual Studio Code

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ソースコード解析をするために、 Windows 10 PCに、OracleのVirtualBox & Ubuntu 16.04LTSの環境で行っています。 Bash on Ubuntu 16.04LTS on Wondows …

自分が継続して、成長している実感がある!!

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Twitterに流れてきたので。。。 目指すは世界市場制覇、国内トップのAIベンチャーが求める人材と組織 この記事、今日(4月20日の朝5時まで)しか無償公開…

「エンジニアのためのドラッカー」

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった あたしのTwitterのプロフィールに、 映画は人生。 仕事は楽しく。 「エンジニアの基本は、ドラッカー」。職種 : 自由人。 と書いています。 「映画は人…

サイボウズ・ラボの西尾さんのエンジニアのための自分経営戦略

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は、4月1日、April Fools' Day ですね。 また、新年度が来ました。。。 今年度は、いったい、何が起こるのでしょうかね。。。 楽しみです。 さて、…

あけまして、おめでとうございます

今年の年賀状は、こんな感じですよ。

2017年は、めちゃくちゃ、いろいろやったよ

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日で2017年も終わりですね。 1月1日のブログ、あけまして、おめでとうございます。 今までは、「ペンネーム」と「本名」で記事を書いてき…

今日は、Design solution Forum 2017 ですね。

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は、Design Solution Forumですね。 実行委員は、朝8時から用意を始めます。それより前から準備をしている人達もいます。 昨年から準備…

Ubuntu 16.04LTS on VirtualBox

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Bash on Ubuntu 16.04LTS on Windows 10でVivado 2017.2しましたが、 Intel ModelSimは32ビットアプリのため、Bash on Ubuntu 16.04LTS on W…

Bash on Ubuntu 16.04LTS on Windows 10でVivado 2017.2

Vengineerの戯言 : Twitter、Slideshare SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ThinkPad 13 (メモリ32GB、ストレージ512GB)を買ったので、セットアップ。 1)、初期設定 2)、マカフィーインストール 3)、Chomeインストール…

ChromebookでUbuntuが使えなくなったよ

Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日やることの 1)、原稿の校正 2)、原稿書き 3)、ブログ が終わったので、 4)、AWS EC2 F1の調査 をしようと思って、USB HDDを接続し、Ubuntuを立ち上げ…

ChromebookでFedoraが動く

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 記録のために、残しておきます。 Crouton Fedora + Wayland. Yes, please! 引用 As of version 50, Chrome OS includes a Wayland server. Even though …

Chromebook & Ubuntu 16.04LTSにJupyter Notebookをインストール

Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Chromebook + USB HDD(SSD)にUbuntu 16.04LTSをインストールしたものに、 Qiitaの UbuntuServer 16.04 LTSでJupyter Notebookを使った機械学習環境を手っ…

トレーダーも「機械との競争」に負けた

Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ちょっと気になった記事。 ゴールドマン・サックス、自動化でトレーダー大幅減 3割がエンジニアに エンジニアが開発した自動トレードシステムで人間のト…

あけまして、おめでとうございます。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今年の年賀状は、こんな感じです 今までは、「ペンネーム」と「本名」で記事を書いてきましたが、 今年は、「TwitterID」でも記事を書いていこうと思っ…

ハードウェア開発、CPUアーキテクチャ Advent Calendar 2016

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 記録として、 「ハードウェア開発、CPUアーキテクチャ Advent Calendar 2016」の3日目(12月3日)を書きました。 マルチコア、GPGPU、FPGA

Xerox Altoが復活したというお話

SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった まー、月に何日も見ているわけですらかね。記録として残しておきます。 Y CombinatorがXerox Altoを復活させたお話です。全部で1話 + 10話。Xerox Altoは、1973年に出来た。AppleのJ…

今日は、Design Solution Forum 2016

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は、三年目のDesign Solution Forum 2016 お仕事行くのと同じ時間に出て、朝早くから集合して、一日、運営をします。 来てくださる皆さんも楽しんで…

この時点で「プロ」ではない

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 記録として、残しておきます。 日本でプロ経営者が次々失敗する理由は簡単だ - 坂本幸雄 (サイノキングテクノロジーCEO、元エルピーダメモリ社長 Desig…

今日は、とあるツールのユーザ会

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は、お休みで、とあるツールのユーザ会でお話します。 お話した内容は、編集後、SlideShareにアップする予定です。 今日のユーザ会の正式な開催案内…

その質問自体もはや意味を成さない。

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 先週行われたde:code 2016 PCWatchにその内容が書かれている 日本マイクロソフトの開発者イベント「de:code」が開催、デモで「秀丸」が1分足らずでUWPに…